Möglichkeiten der Fehlersuche / Fehlerbehebung am fertigen ASIC Chip

Size: px
Start display at page:

Download "Möglichkeiten der Fehlersuche / Fehlerbehebung am fertigen ASIC Chip"

Transcription

1 Möglichkeiten der Fehlersuche / Fehlerbehebung am fertigen ASIC Chip am Beispiel des Beetle readout chip GSI Darmstadt former: MPI for Nuclear Physics, Heidelberg

2 Agenda Unsightly behaviours - patches and workaround UV-Laser patch Sticky Charge 80 MHz Crosstalk Readout Baseline Variation Focused Ion Beam (FIB) patch Tristate-Patch Signal Swap Beetle 1.3 on a test PCB 2

3 Sticky Charge: Problem 3

4 Sticky Charge: Simulation 4

5 Sticky Charge: Layout 5

6 Sticky Charge: Laser opening Laser: (UV mode) remove of passivation (Oxide, Nitride, Polyimide) 6

7 Sticky Charge: Timing shift Probe needle test with positive and negative phase shift of reset signal ROAmpReset 7

8 Sticky Charge: New timing 8

9 80 MHz X-talk: Problem Cross talk with a frequency spectrum of 80 MHz present on: Digital signals, e.g. DataValid Analogue signals, e.g. AnalogOut Power supply lines: Vddd, Vdda Comparison: Beetle 1.1 Beetle 1.2 # Flip-flops # Clock buffers Guard ring logic analogue digital 9

10 80 MHz X-talk: Idea & Layout (1) 10

11 80 MHz X-talk: Layout (2) 11

12 80 MHz X-talk: Laser patch 35 µm 50 µm 12

13 EE-Gruppenmeeting 80 MHz X-talk: Pictures 13

14 80 MHz X-talk: Comparison before patch after patch 14

15 Readout Baseline Variation Difference in Vdd between ch. 0 and ch. 127: 24 mv between ch. 0 and ch. 63: 210 mv Observation: shaper bias current affects amplitude of baseline variation Laser patch: probing shaper power supply at different channels 15

16 Tristate: problem Problems with first prototype readout chip Beetle 1.0 Internal data bus between I²C-interface and registers is always zero => all setup and DAC registers are zero => Chip isn t programmable Reason for data bus problem: A bug in the extraction rules causes that a diffusion shortcut in a tristate layout wasn t seen in the LVS check as an error Normally: end of prototype chip testing 16

17 Tristate: wrong layout 17

18 Tristate: simulation 18

19 Tristate: new layout Diffusion of PMOS separated from Vdd Diffusion of NMOS separated from Gnd Additional guard ring around NMOS 19

20 Tristate: way out? Way out of the Beetle 1.0 prototype problem? Tristate patch with a Focused Ion Beam (FIB) All register should be programmable Read out of register settings via I²C is not possible 20

21 EE-Gruppenmeeting Tristate: FIB patch 1 cut 9 interconnections Chip was programmable!!! 21

22 Readout header: parity bit 1 port mode AO[0] I0 I1 I2 I3 I4 I5 I6 I7 P7 P6 P5 P4 P3 P2 P1 P0 4 port mode AO[0] I0 I4 P1 P0 AO[1] I1 I5 P3 P2 AO[2] I2 I6 P5 P4 AO[3] I3 I7 P7 P6 I0 leading bit (always 0) I1 parity of PCN (even) I2 Active EDC I3 parity of reg. CompChTh I4 parity of reg. CompMask I5 parity of reg. TpSelect I6 SEU counter <1> I7 SEU counter <0> Parity bit (I1) is wrong encoded in 4 port mode and Rclk divider = 0 (LHCb mode) all other modes or Rclk divider settings Parity bit is OK problem is understood in verilog not so easy to fix simple workaround: swap position I1 with I5 could be tested on a 1.3 with a FIB patch 22

23 Parity bit - workaround (1) schematic of parity-bit generation (part of MuxScheduler) 23

24 Parity bit - workaround (2) new schematic of parity-bit patch 24

25 Parity bit - workaround (3) Layout modification in FastControl of Beetle (could be done by a FIB) 2 cuts 2 connections ParityPCN TpSelectPar Output device of ParityPCN generation - E_XNor2 (U1126) 25

26 EE-Gruppenmeeting FIB patch (1) ParityPCN TPselectPar Polyimide partly removed with an UV laser 6 holes opened Signal lines still untouched 26

27 FIB patch (2) TPselectPar ParityPCN 27

28 FIB patch (3) And another chip... Swap header bit I1 with I5 done with a FIB patch (FEICO Munich) 28

29 FIB patch (4) 29

30 FIB patch (5) 30

GSI Event-driven TDC with 4 Channels GET4. Harald Deppe, EE-ASIC Holger Flemming, EE-ASIC. Holger Flemming

GSI Event-driven TDC with 4 Channels GET4. Harald Deppe, EE-ASIC Holger Flemming, EE-ASIC. Holger Flemming GSI Event-driven TDC with 4 Channels GET4, EE-ASIC, EE-ASIC Schematic DLL N Delay Elements Reference Clock Phasedetector & Charge Pump Schematic DoubleBin DLL N Delay Elements Reference Clock Phasedetector

More information

Latches SEU en techno IBM 130nm pour SLHC/ATLAS. CPPM, Université de la méditerranée, CNRS/IN2P3, Marseille, France

Latches SEU en techno IBM 130nm pour SLHC/ATLAS. CPPM, Université de la méditerranée, CNRS/IN2P3, Marseille, France Latches SEU en techno IBM 130nm pour SLHC/ATLAS CPPM, Université de la méditerranée, CNRS/IN2P3, Marseille, France Outline Introduction Description of the DICE latch Different implemented layouts for the

More information

ATLAS TileCal Demonstrator Main Board Design Review

ATLAS TileCal Demonstrator Main Board Design Review ATLAS TileCal Demonstrator Main Board Design Review Fukun Tang, Kelby Anderson and Mark Oreglia The University of Chicago 4/24/2013 Mini Review For Main Board Design 1 Main/Daughter Board Readout Structure

More information

Prototyping NGC. First Light. PICNIC Array Image of ESO Messenger Front Page

Prototyping NGC. First Light. PICNIC Array Image of ESO Messenger Front Page Prototyping NGC First Light PICNIC Array Image of ESO Messenger Front Page Introduction and Key Points Constructed is a modular system with : A Back-End as 64 Bit PCI Master/Slave Interface A basic Front-end

More information

CBC performance with switched capacitor DC-DC converter. Mark Raymond, Tracker Upgrade Power Working Group, February 2012.

CBC performance with switched capacitor DC-DC converter. Mark Raymond, Tracker Upgrade Power Working Group, February 2012. CBC performance with switched capacitor DC-DC converter Mark Raymond, Tracker Upgrade Power Working Group, February 212. 1 CBC power features 2 powering features included on CBC prototype pads for test

More information

Pipeline Control. Testpulse Generator. I2C Interface. Frontend Bias Generator. Backend Bias Generator. PipeampTestOut. FETestOut.

Pipeline Control. Testpulse Generator. I2C Interface. Frontend Bias Generator. Backend Bias Generator. PipeampTestOut. FETestOut. LHCb 2001-046 ELECTRONICS April 23, 2001 The Beetle Reference Manual Niels van Bakel 1, Daniel Baumeister 2, Jo van den Brand 1, Martin Feuerstack-Raible 3, Neville Harnew 4, Werner Hofmann 2, Karl-Tasso

More information

IEEE Proof Web Version

IEEE Proof Web Version IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 56, NO. 3, JUNE 2009 1 A Portable Readout System for Microstrip Silicon Sensors (ALIBAVA) Ricardo Marco-Hernández and ALIBAVA COLLABORATION Abstract A readout

More information

CMPEN411 Memory Chip Design Project Report

CMPEN411 Memory Chip Design Project Report THE PENNSYLVANIA STATE UNIVERSITY CMPEN411 Memory Chip Design Project Report RAM64X6,SERIAL RAM 64X4 and DPRAM64X4 Qianqian Zhang 3/9/2012 A chip fabricated through MOSIS 1 Table of Content Chapter 1 Introductory...

More information

ALIBAVA: A portable readout system for silicon microstrip sensors

ALIBAVA: A portable readout system for silicon microstrip sensors ALIBAVA: A portable readout system for silicon microstrip sensors Marco-Hernández, R. a, Bernabeu, J. a, Casse, G. b, García, C. a, Greenall, A. b, Lacasta, C. a, Lozano, M. c, Martí i García, S. a, Martinez,

More information

FABRICATION TECHNOLOGIES

FABRICATION TECHNOLOGIES FABRICATION TECHNOLOGIES DSP Processor Design Approaches Full custom Standard cell** higher performance lower energy (power) lower per-part cost Gate array* FPGA* Programmable DSP Programmable general

More information

ALIBAVA: A portable readout system for silicon microstrip sensors

ALIBAVA: A portable readout system for silicon microstrip sensors ALIBAVA: A portable readout system for silicon microstrip sensors Marco-Hernández, R. a, Bernabeu, J. a, Casse, G. b, García, C. a, Greenall, A. b, Lacasta, C. a, Lozano, M. c, Martí i García, S. a, Martinez,

More information

Interface Description for the GLAST Tracker Front-End Readout Chip, GTFE64

Interface Description for the GLAST Tracker Front-End Readout Chip, GTFE64 SCIPP 98/25 September, 1998 Interface Description for the GLAST Tracker Front-End Readout Chip, GTFE64 R.P. Johnson Santa Cruz Institute for Particle Physics University of California at Santa Cruz Version

More information

Microcomputers. Outline. Number Systems and Digital Logic Review

Microcomputers. Outline. Number Systems and Digital Logic Review Microcomputers Number Systems and Digital Logic Review Lecture 1-1 Outline Number systems and formats Common number systems Base Conversion Integer representation Signed integer representation Binary coded

More information

Electronics on the detector Mechanical constraints: Fixing the module on the PM base.

Electronics on the detector Mechanical constraints: Fixing the module on the PM base. PID meeting Mechanical implementation ti Electronics architecture SNATS upgrade proposal Christophe Beigbeder PID meeting 1 Electronics is split in two parts : - one directly mounted on the PM base receiving

More information

BES-III off-detector readout electronics for the GEM detector: an update

BES-III off-detector readout electronics for the GEM detector: an update BES-III off-detector readout electronics for the GEM detector: an update The CGEM off-detector collaboration ( INFN/Univ. FE, INFN LNF, Univ. Uppsala ) 1 Outline Reminder Update on development status Off-detector

More information

ASIC Physical Design Top-Level Chip Layout

ASIC Physical Design Top-Level Chip Layout ASIC Physical Design Top-Level Chip Layout References: M. Smith, Application Specific Integrated Circuits, Chap. 16 Cadence Virtuoso User Manual Top-level IC design process Typically done before individual

More information

Detector Housing CASCADE-U 100. Bottom-flange. Top-flange with Teflon insulating ring and special Wilson-flange designed to fit the UCN beam pipe

Detector Housing CASCADE-U 100. Bottom-flange. Top-flange with Teflon insulating ring and special Wilson-flange designed to fit the UCN beam pipe Detector Housing CASCADE-U 100 Bottom-flange with shielding of the readout electronics Shielding of the readout electronics Top-flange with Teflon insulating ring and special Wilson-flange designed to

More information

Introduction to ICs and Transistor Fundamentals

Introduction to ICs and Transistor Fundamentals Introduction to ICs and Transistor Fundamentals A Brief History 1958: First integrated circuit Flip-flop using two transistors Built by Jack Kilby at Texas Instruments 2003 Intel Pentium 4 mprocessor (55

More information

Radiation-Hard ASICS for Optical Data Transmission in the First Phase of the LHC Upgrade

Radiation-Hard ASICS for Optical Data Transmission in the First Phase of the LHC Upgrade Radiation-Hard ASICS for Optical Data Transmission in the First Phase of the LHC Upgrade A. Adair, W. Fernando, K.K. Gan, H.P. Kagan, R.D. Kass, H. Merritt, J. Moore, A. Nagarkar, S. Smith, M. Strang The

More information

Prototype of SRAM by Sergey Kononov, et al.

Prototype of SRAM by Sergey Kononov, et al. Prototype of SRAM by Sergey Kononov, et al. 1. Project Overview The goal of the project is to create a SRAM memory layout that provides maximum utilization of the space on the 1.5 by 1.5 mm chip. Significant

More information

Introduction to Computer Aided Design (CAD) Dr. Lynn Fuller Webpage:

Introduction to Computer Aided Design (CAD) Dr. Lynn Fuller Webpage: ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Introduction to Computer Aided Design (CAD) Dr. Lynn Fuller Webpage: http://www.rit.edu/~lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604

More information

Chapter 6. CMOS Functional Cells

Chapter 6. CMOS Functional Cells Chapter 6 CMOS Functional Cells In the previous chapter we discussed methods of designing layout of logic gates and building blocks like transmission gates, multiplexers and tri-state inverters. In this

More information

FPGA Programming Technology

FPGA Programming Technology FPGA Programming Technology Static RAM: This Xilinx SRAM configuration cell is constructed from two cross-coupled inverters and uses a standard CMOS process. The configuration cell drives the gates of

More information

EXPERIMENTAL HIGH SPEED CMOS IMAGE SENSOR SYSTEM & APPLICATIONS

EXPERIMENTAL HIGH SPEED CMOS IMAGE SENSOR SYSTEM & APPLICATIONS EXPERIMENTAL HIGH SPEED CMOS IMAGE SENSOR SYSTEM & APPLICATIONS Ali Ozer Ercan, Feng Xiao, Xinqiao Liu SukHwan Lim, Abbas El Gamal and Brian Wandell Stanford University IEEE Sensors 2002 Conference 1 Background

More information

6. Latches and Memories

6. Latches and Memories 6 Latches and Memories This chapter . RS Latch The RS Latch, also called Set-Reset Flip Flop (SR FF), transforms a pulse into a continuous state. The RS latch can be made up of two interconnected

More information

A MOSIS CMOS 4-bit, 8-sample Fast Fourier Transform Chip Set

A MOSIS CMOS 4-bit, 8-sample Fast Fourier Transform Chip Set Test results for MOSIS Educational Program (Instructional) A MOSIS CMOS 4-bit, 8-sample Fast Fourier Transform Chip Set Neil Tuttle, Ziyuan Zhang, Sandra Pellecer, Dr. Peter Osterberg, Dr. Aziz Inan University

More information

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) Objective Part A: To become acquainted with Spectre (or HSpice) by simulating an inverter,

More information

ES 210 Lab. Jack Ou, Ph.D.

ES 210 Lab. Jack Ou, Ph.D. ES 210 Lab Jack Ou, Ph.D. April 30, 2013 2 Contents 1 555 Timer 5 1.1 A Monostable Circuit...................... 5 1.1.1 Parts............................ 5 1.1.2 A Monostable Circuit..................

More information

CMS Tracker PLL Reference Manual

CMS Tracker PLL Reference Manual CMS Tracker PLL Reference Manual P. Placidi, A. Marchioro and P. Moreira CERN - EP/MIC, Geneva Switzerland July 2 Version 2. Clock and Trigger Distribution 3 ASIC architecture...4 I2C Interface 6 Tracker

More information

Microcomputer Architecture and Programming

Microcomputer Architecture and Programming IUST-EE (Chapter 1) Microcomputer Architecture and Programming 1 Outline Basic Blocks of Microcomputer Typical Microcomputer Architecture The Single-Chip Microprocessor Microprocessor vs. Microcontroller

More information

ECEN 474 Homework #2 Notes

ECEN 474 Homework #2 Notes ECEN 474 Homework #2 Notes February 22, 2018 Due: 3-6-2018, 5:00PM Homeworks will not be received after due. Instructor: Sam Palermo 1. (50 points) Technology Characterization for Design In this problem,

More information

EE 231 Fall EE 231 Homework 8 Due October 20, 2010

EE 231 Fall EE 231 Homework 8 Due October 20, 2010 EE 231 Homework 8 Due October 20, 20 1. Consider the circuit below. It has three inputs (x and clock), and one output (z). At reset, the circuit starts with the outputs of all flip-flops at 0. x z J Q

More information

Part 1: Results from SPADIC 0.3

Part 1: Results from SPADIC 0.3 Part 1: Results from SPADIC 0.3 Tim Armbruster tim.armbruster@ziti.uni-heidelberg.de 17th CBM CM, Dresden Schaltungstechnik Schaltungstechnik und und April 2011 Visit http://www.spadic.uni-hd.de 1. Reminder:

More information

! Memory. " RAM Memory. " Serial Access Memories. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell. " Used in most commercial chips

! Memory.  RAM Memory.  Serial Access Memories. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell.  Used in most commercial chips ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec : April 5, 8 Memory: Periphery circuits Today! Memory " RAM Memory " Architecture " Memory core " SRAM " DRAM " Periphery " Serial Access Memories

More information

DRAFT DRAFT DRAFT DRAFT DRAFT DRAFT DRAFT

DRAFT DRAFT DRAFT DRAFT DRAFT DRAFT DRAFT The OTIS Reference Manual Harald Deppe, Uwe Stange Λ, Ulrich Trunk y, Ulrich Uwer Physikalisches Institut Universität Heidelberg Version 1.1fl, 06.02.2004 Abstract This document describes the port definitions,

More information

GRE Architecture Session

GRE Architecture Session GRE Architecture Session Session 2: Saturday 23, 1995 Young H. Cho e-mail: youngc@cs.berkeley.edu www: http://http.cs.berkeley/~youngc Y. H. Cho Page 1 Review n Homework n Basic Gate Arithmetics n Bubble

More information

HIGH SPEED TDI EMBEDDED CCD IN CMOS SENSOR

HIGH SPEED TDI EMBEDDED CCD IN CMOS SENSOR HIGH SPEED TDI EMBEDDED CCD IN CMOS SENSOR P. Boulenc 1, J. Robbelein 1, L. Wu 1, L. Haspeslagh 1, P. De Moor 1, J. Borremans 1, M. Rosmeulen 1 1 IMEC, Kapeldreef 75, B-3001 Leuven, Belgium Email: pierre.boulenc@imec.be,

More information

Connecting igaging DigiMAG Scales to the Caliper2PC Interface A step by step Guide

Connecting igaging DigiMAG Scales to the Caliper2PC Interface A step by step Guide What is an igaging DigiMAG Scale? The igaging DigiMAG are digital linear scales that are easily connectable to the Caliper2PC interface. They consist of two parts, the encoder and the readout unit. The

More information

A Configurable Radiation Tolerant Dual-Ported Static RAM macro, designed in a 0.25 µm CMOS technology for applications in the LHC environment.

A Configurable Radiation Tolerant Dual-Ported Static RAM macro, designed in a 0.25 µm CMOS technology for applications in the LHC environment. A Configurable Radiation Tolerant Dual-Ported Static RAM macro, designed in a 0.25 µm CMOS technology for applications in the LHC environment. 8th Workshop on Electronics for LHC Experiments 9-13 Sept.

More information

MC9S12 has 16 bit address and 16 bit data buses. Not enough pins on MC9S12 to allocate 35 pins for buses and pins for all other functions

MC9S12 has 16 bit address and 16 bit data buses. Not enough pins on MC9S12 to allocate 35 pins for buses and pins for all other functions The Multiplexed Address/Data Bus ADDR(16) MC9S12 DATA(16) R/W E LSTRB MEMORY MC9S12 has 16 bit address and 16 bit data buses Requires 35 bits Not enough pins on MC9S12 to allocate 35 pins for buses and

More information

Virtuoso Schematic Composer

Virtuoso Schematic Composer is a schematic design tool from Cadence. In this tutorial you will learn how to put electrical components, make wire connections, insert pins and check for connection error. Start Cadence Custom IC Design

More information

How microprobing can attack encrypted memory

How microprobing can attack encrypted memory How microprobing can attack encrypted memory Sergei Skorobogatov http://www.cl.cam.ac.uk/~sps32 email: sps32@cam.ac.uk Introduction Hardware Security research since 1995 testing microcontrollers and smartcards

More information

Conceptual Design of Front-End Readout Electronics for the GLAST Silicon-Strip Tracker

Conceptual Design of Front-End Readout Electronics for the GLAST Silicon-Strip Tracker Introduction Conceptual Design of Front-End Readout Electronics for the GLAST Silicon-Strip Tracker R.P. Johnson, SCIPP Draft: November 13, 1998 This is a conceptual design for the GLAST silicon-strip

More information

ADV7188/4 EVALUATION NOTE. ADV7188/4 Video Decoder Evaluation Boards. ATV applications August-2006 Page 1 of 22

ADV7188/4 EVALUATION NOTE. ADV7188/4 Video Decoder Evaluation Boards. ATV applications August-2006 Page 1 of 22 ADV7188/4 EVALUATION NOTE ADV7188/4 Video Decoder Evaluation Boards ATV applications August-2006 Page 1 of 22 ADV7188 EVALUATION NOTE 1. Introduction 3 2. Evaluation Kit 3 3. Initial Configuration.. 3

More information

PSEC-4: Review of Architecture, etc. Eric Oberla 27-oct-2012

PSEC-4: Review of Architecture, etc. Eric Oberla 27-oct-2012 PSEC-4: Review of Architecture, etc. Eric Oberla 27-oct-2012 PSEC-4 ASIC: design specs LAPPD Collaboration Designed to sample & digitize fast pulses (MCPs): Sampling rate capability > 10GSa/s Analog bandwidth

More information

Lecture 11: MOS Memory

Lecture 11: MOS Memory Lecture 11: MOS Memory MAH, AEN EE271 Lecture 11 1 Memory Reading W&E 8.3.1-8.3.2 - Memory Design Introduction Memories are one of the most useful VLSI building blocks. One reason for their utility is

More information

Sketch A Transistor-level Schematic Of A Cmos 3-input Xor Gate

Sketch A Transistor-level Schematic Of A Cmos 3-input Xor Gate Sketch A Transistor-level Schematic Of A Cmos 3-input Xor Gate DE09 DIGITALS ELECTRONICS 3 (For Mod-m Counter, we need N flip-flops (High speeds are possible in ECL because the transistors are used in

More information

New System Solutions for Laser Printer Applications by Oreste Emanuele Zagano STMicroelectronics

New System Solutions for Laser Printer Applications by Oreste Emanuele Zagano STMicroelectronics New System Solutions for Laser Printer Applications by Oreste Emanuele Zagano STMicroelectronics Introduction Recently, the laser printer market has started to move away from custom OEM-designed 1 formatter

More information

ECE 574: Modeling and Synthesis of Digital Systems using Verilog and VHDL. Fall 2017 Final Exam (6.00 to 8.30pm) Verilog SOLUTIONS

ECE 574: Modeling and Synthesis of Digital Systems using Verilog and VHDL. Fall 2017 Final Exam (6.00 to 8.30pm) Verilog SOLUTIONS ECE 574: Modeling and Synthesis of Digital Systems using Verilog and VHDL Fall 2017 Final Exam (6.00 to 8.30pm) Verilog SOLUTIONS Note: Closed book no notes or other material allowed apart from the one

More information

Description of the JRA1 Trigger Logic Unit (TLU), v0.2c

Description of the JRA1 Trigger Logic Unit (TLU), v0.2c EUDET Description of the JRA1 Trigger Logic Unit (TLU), v0.2c D. Cussans September 11, 2009 Abstract This document is an updated version of EUDET-Memo-2008-50. It describes the interfaces and operation

More information

A 50% Lower Power ARM Cortex CPU using DDC Technology with Body Bias. David Kidd August 26, 2013

A 50% Lower Power ARM Cortex CPU using DDC Technology with Body Bias. David Kidd August 26, 2013 A 50% Lower Power ARM Cortex CPU using DDC Technology with Body Bias David Kidd August 26, 2013 1 HOTCHIPS 2013 Copyright 2013 SuVolta, Inc. All rights reserved. Agenda DDC transistor and PowerShrink platform

More information

EE 271 Final Project - Towers of Hanoi

EE 271 Final Project - Towers of Hanoi EE 271 Final Project - Towers of Hanoi Siyu Jian, Michael Molina, Kendrick Tang December 6, 2012 We all worked Abstract In this project, we designed and implemented the game of tower of Hanoi on the DE1

More information

An adapted SCTA for the readout of the Si strip VErtex LOcator of LHCb.

An adapted SCTA for the readout of the Si strip VErtex LOcator of LHCb. : Adapted scta for LHCB Vertex locator. An adapted SCTA for the readout of the Si strip VErtex LOcator of LHCb. (CERN) F. Vinci dos Santos (CERN/RIO) E. Sexauer(Heidelberg) IVth international meeting on

More information

The System of Readout Boards for ALICE TRD

The System of Readout Boards for ALICE TRD PRESENTATION The System of Readout Boards for ALICE TRD Dr. Ivan Rusanov Physics Institute, Uni - Heidelberg ALICE TRD: Charge Sensitive Preamplifier (PASA Measurements - Dr. Ivan Rusanov; PI, Uni-Heidelberg)

More information

Schematic. A: Overview of the Integrated Detector Readout Electronics and DAQ-System. optical Gbit link. 1GB DDR Ram.

Schematic. A: Overview of the Integrated Detector Readout Electronics and DAQ-System. optical Gbit link. 1GB DDR Ram. A: Overview of the Integrated Detector Readout Electronics and DAQ-System N s CASCADE Detector Frontend (X0) (X) (Y0) (Y) optional: CIPix- Board (T) Optical Gigabit Link CDR.0 FPGA based readout board

More information

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Lec 26: November 9, 2018 Memory Overview Dynamic OR4! Precharge time?! Driving input " With R 0 /2 inverter! Driving inverter

More information

COSC 243. Computer Architecture 1. COSC 243 (Computer Architecture) Lecture 6 - Computer Architecture 1 1

COSC 243. Computer Architecture 1. COSC 243 (Computer Architecture) Lecture 6 - Computer Architecture 1 1 COSC 243 Computer Architecture 1 COSC 243 (Computer Architecture) Lecture 6 - Computer Architecture 1 1 Overview Last Lecture Flip flops This Lecture Computers Next Lecture Instruction sets and addressing

More information

OPERATOR'S MANUAL PCOS 4 SYSTEM MANUAL PRELIMINARY

OPERATOR'S MANUAL PCOS 4 SYSTEM MANUAL PRELIMINARY OPERATOR'S MANUAL PCOS 4 SYSTEM MANUAL PRELIMINARY 1 Innovators in Instrumentation Corporate Headquarters 700 Chestnut Ridge Road Chestnut Ridge, NY 10977-6499 Tel: (914) 578-6013 Fax: (914) 578-5984 E-mail:

More information

SEE Tolerant Self-Calibrating Simple Fractional-N PLL

SEE Tolerant Self-Calibrating Simple Fractional-N PLL SEE Tolerant Self-Calibrating Simple Fractional-N PLL Robert L. Shuler, Avionic Systems Division, NASA Johnson Space Center, Houston, TX 77058 Li Chen, Department of Electrical Engineering, University

More information

2015 Paper E2.1: Digital Electronics II

2015 Paper E2.1: Digital Electronics II s 2015 Paper E2.1: Digital Electronics II Answer ALL questions. There are THREE questions on the paper. Question ONE counts for 40% of the marks, other questions 30% Time allowed: 2 hours (Not to be removed

More information

I also provide a purpose-built ADC/DAC board to support the lab experiment. This analogue I/O board in only needed for Part 3 and 4 of VERI.

I also provide a purpose-built ADC/DAC board to support the lab experiment. This analogue I/O board in only needed for Part 3 and 4 of VERI. 1 2 I also provide a purpose-built ADC/DAC board to support the lab experiment. This analogue I/O board in only needed for Part 3 and 4 of VERI. However I will now be examining the digital serial interface

More information

475 Electronics for physicists Introduction to FPGA programming

475 Electronics for physicists Introduction to FPGA programming 475 Electronics for physicists Introduction to FPGA programming Andrej Seljak, Gary Varner Department of Physics University of Hawaii at Manoa November 18, 2015 Abstract Digital circuits based on binary

More information

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE.

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE. Memory Design I Professor Chris H. Kim University of Minnesota Dept. of ECE chriskim@ece.umn.edu Array-Structured Memory Architecture 2 1 Semiconductor Memory Classification Read-Write Wi Memory Non-Volatile

More information

outline Reliable State Machines MER Mission example

outline Reliable State Machines MER Mission example outline Reliable State Machines Dr. Gary R Burke California Institute of Technology Jet Propulsion Laboratory Background JPL MER example JPL FPGA/ASIC Process Procedure Guidelines State machines Traditional

More information

Lab 16: Tri-State Busses and Memory U.C. Davis Physics 116B Note: We may use a more modern RAM chip. Pinouts, etc. will be provided.

Lab 16: Tri-State Busses and Memory U.C. Davis Physics 116B Note: We may use a more modern RAM chip. Pinouts, etc. will be provided. Lab 16: Tri-State Busses and Memory U.C. Davis Physics 116B Note: We may use a more modern RAM chip. Pinouts, etc. will be provided. INTRODUCTION In this lab, you will build a fairly large circuit that

More information

Circuits. L3: Fabrication and Layout -1 ( ) B. Mazhari Dept. of EE, IIT Kanpur. B. Mazhari, IITK. G-Number

Circuits. L3: Fabrication and Layout -1 ( ) B. Mazhari Dept. of EE, IIT Kanpur. B. Mazhari, IITK. G-Number EE60: CMOS Analog Circuits L: Fabrication and Layout - (8.8.0) B. Mazhari Dept. of EE, IIT Kanpur Suppose we have a Silicon wafer which is P-type and we wish to create a region within it which is N-type

More information

Lab 2. Standard Cell layout.

Lab 2. Standard Cell layout. Lab 2. Standard Cell layout. The purpose of this lab is to demonstrate CMOS-standard cell design. Use the lab instructions and the cadence manual (http://www.es.lth.se/ugradcourses/cadsys/cadence.html)

More information

On-chip Phase Locked Loop (PLL) design for clock multiplier in CMOS Monolithic Active Pixel Sensors (MAPS)

On-chip Phase Locked Loop (PLL) design for clock multiplier in CMOS Monolithic Active Pixel Sensors (MAPS) On-chip Phase Locked Loop (PLL) design for clock multiplier in CMOS Monolithic Active Pixel Sensors (MAPS) Q. Sun a,b, K. Jaaskelainen a, I. Valin a, G. Claus a, Ch. Hu-Guo a, Y. Hu a, a IPHC (Institut

More information

Post-Process Process CMOS Front End Engineering With Focused Ion Beams

Post-Process Process CMOS Front End Engineering With Focused Ion Beams Post-Process Process CMOS Front End Engineering With Focused Ion Beams A. Lugstein 1, W. Brezna 1, B. Goebel 2, L. Palmetshofer 3, and E. Bertagnolli 1 1) Vienna University of Technology, Floragasse 7,

More information

Testbench Template. The Big Picture

Testbench Template. The Big Picture Testbench Template Testbench template generated by Cadence The Big Picture 1010010100100 1001000010100 1110101001110 1010100010011 0100100010001 Input Vector Table DUT (Device Under Test) Compare Actual

More information

AN231K04-DVLP3 AnadigmApex Development Board

AN231K04-DVLP3 AnadigmApex Development Board 1.0 Overview The AnadigmApex development board is an easy-to-use platform designed to help you get started with implementing and testing your analog designs on the AnadigmApex FPAA silicon devices. While

More information

1. INTRODUCTION TO MICROPROCESSOR AND MICROCOMPUTER ARCHITECTURE:

1. INTRODUCTION TO MICROPROCESSOR AND MICROCOMPUTER ARCHITECTURE: 1. INTRODUCTION TO MICROPROCESSOR AND MICROCOMPUTER ARCHITECTURE: A microprocessor is a programmable electronics chip that has computing and decision making capabilities similar to central processing unit

More information

PIC 28 Pin Board Documentation. Update Version 5.0

PIC 28 Pin Board Documentation. Update Version 5.0 PIC 28 Pin Board Documentation Update 2009.10 Version 5.0 Table of Contents PIC 28 Pin Board Documentation... 1 Table of Contents... 2 Introduction... 3 Circuit Schematic... 4 The following is the Circuit

More information

Memory in Digital Systems

Memory in Digital Systems MEMORIES Memory in Digital Systems Three primary components of digital systems Datapath (does the work) Control (manager) Memory (storage) Single bit ( foround ) Clockless latches e.g., SR latch Clocked

More information

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN 1 Introduction The evolution of integrated circuit (IC) fabrication techniques is a unique fact in the history of modern industry. The improvements in terms of speed, density and cost have kept constant

More information

Tamper Resistance - a Cautionary Note Ross Anderson Markus Kuhn

Tamper Resistance - a Cautionary Note Ross Anderson Markus Kuhn Tamper Resistance - a Cautionary Note Ross Anderson University of Cambridge Computer Laboratory Markus Kuhn University of Erlangen/ Purdue University Applications of Tamper Resistant Modules Security of

More information

Modules and Front-End Electronics Developments for the ATLAS ITk Strips Upgrade

Modules and Front-End Electronics Developments for the ATLAS ITk Strips Upgrade Modules and Front-End Electronics Developments for the ATLAS ITk Strips Upgrade Carlos García Argos, on behalf of the ATLAS ITk Collaboration University of Freiburg International Conference on Technology

More information

TEXAS INSTRUMENTS ANALOG UNIVERSITY PROGRAM DESIGN CONTEST MIXED SIGNAL TEST INTERFACE CHRISTOPHER EDMONDS, DANIEL KEESE, RICHARD PRZYBYLA SCHOOL OF

TEXAS INSTRUMENTS ANALOG UNIVERSITY PROGRAM DESIGN CONTEST MIXED SIGNAL TEST INTERFACE CHRISTOPHER EDMONDS, DANIEL KEESE, RICHARD PRZYBYLA SCHOOL OF TEXASINSTRUMENTSANALOGUNIVERSITYPROGRAMDESIGNCONTEST MIXED SIGNALTESTINTERFACE CHRISTOPHEREDMONDS,DANIELKEESE,RICHARDPRZYBYLA SCHOOLOFELECTRICALENGINEERINGANDCOMPUTERSCIENCE OREGONSTATEUNIVERSITY I. PROJECT

More information

A flexible stand-alone testbench for characterizing the front-end electronics for the CMS Preshower detector under LHC-like timing conditions

A flexible stand-alone testbench for characterizing the front-end electronics for the CMS Preshower detector under LHC-like timing conditions A flexible stand-alone testbench for characterizing the front-end electronics for the CMS Preshower detector under LHC-like timing conditions Paul Aspell 1, David Barney 1, Yves Beaumont 1, Suhas Borkar

More information

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017 Design of Low Power Adder in ALU Using Flexible Charge Recycling Dynamic Circuit Pallavi Mamidala 1 K. Anil kumar 2 mamidalapallavi@gmail.com 1 anilkumar10436@gmail.com 2 1 Assistant Professor, Dept of

More information

Microprocessor Architecture

Microprocessor Architecture Microprocessor - 8085 Architecture 8085 is pronounced as "eighty-eighty-five" microprocessor. It is an 8-bit microprocessor designed by Intel in 1977 using NMOS technology. It has the following configuration

More information

Computer Architecture (TT 2012)

Computer Architecture (TT 2012) Computer Architecture (TT 2012) The Register Transfer Level Daniel Kroening Oxford University, Computer Science Department Version 1.0, 2011 Outline Reminders Gates Implementations of Gates Latches, Flip-flops

More information

QUESTION BANK. EE 6502 / Microprocessor and Microcontroller. Unit I Processor. PART-A (2-Marks)

QUESTION BANK. EE 6502 / Microprocessor and Microcontroller. Unit I Processor. PART-A (2-Marks) QUESTION BANK EE 6502 / Microprocessor and Microcontroller Unit I- 8085 Processor PART-A (2-Marks) YEAR/SEM : III/V 1. What is meant by Level triggered interrupt? Which are the interrupts in 8085 level

More information

Control in Digital Systems

Control in Digital Systems CONTROL CIRCUITS Control in Digital Systems Three primary components of digital systems Datapath (does the work) Control (manager, controller) Memory (storage) B. Baas 256 Control in Digital Systems Control

More information

Digital Design Methodology

Digital Design Methodology Digital Design Methodology Prof. Soo-Ik Chae Digital System Designs and Practices Using Verilog HDL and FPGAs @ 2008, John Wiley 1-1 Digital Design Methodology (Added) Design Methodology Design Specification

More information

Memory Design I. Semiconductor Memory Classification. Read-Write Memories (RWM) Memory Scaling Trend. Memory Scaling Trend

Memory Design I. Semiconductor Memory Classification. Read-Write Memories (RWM) Memory Scaling Trend. Memory Scaling Trend Array-Structured Memory Architecture Memory Design I Professor hris H. Kim University of Minnesota Dept. of EE chriskim@ece.umn.edu 2 Semiconductor Memory lassification Read-Write Memory Non-Volatile Read-Write

More information

Digital Design Methodology (Revisited) Design Methodology: Big Picture

Digital Design Methodology (Revisited) Design Methodology: Big Picture Digital Design Methodology (Revisited) Design Methodology Design Specification Verification Synthesis Technology Options Full Custom VLSI Standard Cell ASIC FPGA CS 150 Fall 2005 - Lec #25 Design Methodology

More information

Apsel3D_TC. Alessandro Gabrielli Filippo Giorgi. INFN & Physics Department University of Bologna Italy. on behalf of the VIPIX collaboration

Apsel3D_TC. Alessandro Gabrielli Filippo Giorgi. INFN & Physics Department University of Bologna Italy. on behalf of the VIPIX collaboration Apsel3D_TC User Guide A digital sparsification and readout circuit for a 8 32 matrix of 3D Pixels Alessandro Gabrielli Filippo Giorgi INFN & Physics Department University of Bologna Italy on behalf of

More information

TPC FRONT END ELECTRONICS Progress Report

TPC FRONT END ELECTRONICS Progress Report TPC FRONT END ELECTRONICS Progress Report CERN 1 July 2002 FEE TEAM: Bergen CERN Darmstadt TU Frankfurt Heidelberg Lund Oslo Luciano Musa - CERN 1 TPC FEE - ARCHITECTURE (1/2) BASIC READOUT CHAIN drift

More information

File: 'ReportV37P-CT89533DanSuo.doc' CMPEN 411, Spring 2013, Homework Project 9 chip, 'Tiny Chip' fabricated through MOSIS program

File: 'ReportV37P-CT89533DanSuo.doc' CMPEN 411, Spring 2013, Homework Project 9 chip, 'Tiny Chip' fabricated through MOSIS program MOSIS Chip Test Report Dan Suo File: 'ReportV37P-CT89533DanSuo.doc' CMPEN 411, Spring 2013, Homework Project 9 chip, 'Tiny Chip' fabricated through MOSIS program Technology: 0.5um CMOS, ON Semiconductor

More information

falling edge Intro Computer Organization

falling edge Intro Computer Organization Clocks 1 A clock is a free-running signal with a cycle time. A clock may be either high or low, and alternates between the two states. The length of time the clock is high before changing states is its

More information

IMPLEMENTATION OF LOW POWER AREA EFFICIENT ALU WITH LOW POWER FULL ADDER USING MICROWIND DSCH3

IMPLEMENTATION OF LOW POWER AREA EFFICIENT ALU WITH LOW POWER FULL ADDER USING MICROWIND DSCH3 IMPLEMENTATION OF LOW POWER AREA EFFICIENT ALU WITH LOW POWER FULL ADDER USING MICROWIND DSCH3 Ritafaria D 1, Thallapalli Saibaba 2 Assistant Professor, CJITS, Janagoan, T.S, India Abstract In this paper

More information

Memory. Outline. ECEN454 Digital Integrated Circuit Design. Memory Arrays. SRAM Architecture DRAM. Serial Access Memories ROM

Memory. Outline. ECEN454 Digital Integrated Circuit Design. Memory Arrays. SRAM Architecture DRAM. Serial Access Memories ROM ECEN454 Digital Integrated Circuit Design Memory ECEN 454 Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitry Multiple Ports DRAM Outline Serial Access Memories ROM ECEN 454 12.2 1 Memory

More information

Two hours - online EXAM PAPER MUST NOT BE REMOVED FROM THE EXAM ROOM UNIVERSITY OF MANCHESTER SCHOOL OF COMPUTER SCIENCE

Two hours - online EXAM PAPER MUST NOT BE REMOVED FROM THE EXAM ROOM UNIVERSITY OF MANCHESTER SCHOOL OF COMPUTER SCIENCE COMP 12111 Two hours - online This paper version is made available as a backup In this event, only MCQ answers written in the boxes on the exam paper will be marked. EXAM PAPER MUST NOT BE REMOVED FROM

More information

PROGRESS ON ADF BOARD DESIGN

PROGRESS ON ADF BOARD DESIGN PROGRESS ON ADF BOARD DESIGN Denis Calvet calvet@hep.saclay.cea.fr CEA Saclay, 91191 Gif-sur-Yvette CEDEX, France Saclay, 16 May 2002 PLAN ANALOG SPLITTER ADF BOARD AND CRATES DIGITAL FILTER SCL INTERFACE

More information

AMchip architecture & design

AMchip architecture & design Sezione di Milano AMchip architecture & design Alberto Stabile - INFN Milano AMchip theoretical principle Associative Memory chip: AMchip Dedicated VLSI device - maximum parallelism Each pattern with private

More information

Serial Adapter for I 2 C / APFEL and 8 channel DAC ASIC

Serial Adapter for I 2 C / APFEL and 8 channel DAC ASIC Serial Adapter for I 2 C / APFEL and 8 channel DAC ASIC GSI Helmholtzzentrum für Schwerionenforschung GmbH Experiment Electronics Department December 5, 2016 Outline 1 Motivation 2 3 Motivation Currently

More information

IBG Protection for Anti-Fuse OTP Memory Security Breaches

IBG Protection for Anti-Fuse OTP Memory Security Breaches IBG Protection for Anti-Fuse OTP Memory Security Breaches Overview Anti-Fuse Memory IP is considered by some to be the gold standard for secure memory. Once programmed, reverse engineering methods will

More information

How Safe is Anti-Fuse Memory? IBG Protection for Anti-Fuse OTP Memory Security Breaches

How Safe is Anti-Fuse Memory? IBG Protection for Anti-Fuse OTP Memory Security Breaches How Safe is Anti-Fuse Memory? IBG Protection for Anti-Fuse OTP Memory Security Breaches Overview A global problem that impacts the lives of millions daily is digital life security breaches. One of the

More information

Unit 7: Memory. Dynamic shift register: Circuit diagram: Refer to unit 4(ch 6.5.4)

Unit 7: Memory. Dynamic shift register: Circuit diagram: Refer to unit 4(ch 6.5.4) Unit 7: Memory Objectives: At the end of this unit we will be able to understand System timing consideration Storage / Memory Elements dynamic shift register 1T and 3T dynamic memory 4T dynamic and 6T

More information