Comparison of memory write policies for NoC based Multicore Cache Coherent Systems

Size: px
Start display at page:

Download "Comparison of memory write policies for NoC based Multicore Cache Coherent Systems"

Transcription

1 Comparison of memory write policies for NoC based Mlticore Cache Coherent Systems Pierre Gironnet de Massas, Frederic Petrot System-Level Synthesis Grop TIMA Laboratory 46, Av Felix Viallet, Grenoble, France Abstract The following stdy shows a direct comparison of memory write policies in Shared Memory Mlticore Systems. Althogh there are mch work and many stdies abot this isse, or work takes into accont the difficlties related to on chip commnication sing network-like interconnects. Or stdy is based on Cycle Approximate Bit Accrate simlations (CABA) of platforms with p to 64 processors, modelling accrately all the aspects of mlti-threaded program exection and memory accesses. Or main reslts show that write-throgh caches perform well compared to write-back ones, with a slightly simpler implementation and comparable traffic. 1. Introdction In the past few years, the search for processors with higher-freqencies and evermore complex pipelines seems to have stopped. Instead, as integration capabilities still increase according to Moore's law, architects are ptting together more and more processors, memories and devices on a single die. Sch chips with dozen of processors achieve high comptational throghpt by exploiting parallelism at task level in applications. Since bses do not scale well with more than few processors - say ten - Network on Chip (NoC) interconnect [6] seems to be one of the best soltions for the next decades. In NoCs, the available bandwidth is not limited by the nmber of nodes becase each one comes with its own set of wires. Moreover, all commnications are on chip, hence NoC's achieve higher throghpt bandwidth and mch lower latency than off-chip large-scale Symmetric MltiProcessors (SMP). A high nmber of programmable components significantly increases software design and implementation complexity. Having a hardware that provides a simple programming model will be a major architectral argment in the ftre. Some architectres do not inclde any more caches since they are targeting low-power devices with streaming application models. Bt as shown in [10] hardware coherent caches offer similar performances in streaming applications and better overall performances when they do not perform write-allocate protocols. Hence, caches with hardware coherency are a good choice to provide a simple programming model and redce accesses latencies in a large scale NoC. For the sake of simplicity, we will se seqential consistency in or platform simlation. Nevertheless or comparison remains valid with a weaker model as the one sed in commercial designs. Cache Area is an important trade off, since in actal high-end processors it represents 50% of the area, and it will still increase in ftre implementations. Nevertheless, integrating several processors in the same die implies less area allowed to caches and memories. Conseqently, the cache implementation shold be as simple as possible with niform access and in-order reqest isses. De to the presence of a NoC, we se a directory-based coherency scheme inspired from one proposed by Censier and Featrier [5]. Its area overhead does not scale well with a high nmber of processors. Or work can be adapted to more efficient soltions as one reviewed in [16, 2]. Therefore, or work focses on on-chip shared memory mlticore systems with NoC and caches. The memory hierarchy implements seqential consistency and hardware coherence with a directory scheme. Hardware protocols can be divided into two categories to maintain coherency, write-pdate and write-invalidate [15]. We implement the later soltion since it is the most commonly sed and srely the best one in or context. As a reslt, we focs or stdy on memory write policies. In actal designs, the write-back is the most widely sed policy. In contrast, write-throgh memory pdates are well known in the literatre to give poor performances and are almost nsed in actal designs. The high bandwidth available in NoC architectres, and the high latencies to access foreign on chip nodes, makes it necessary to re-evalate the write-throgh memory pdate policy which is crrently considered inefficient. The main contribtion of or work is a head to head com /DATE EDAA

2 parison between directory-based generic write-back MESI like and write-throgh invalidate protocols implemented on top of a NoC. The comparison is done simlating at CABA level a fll application software with an OS. Therefore, all the instrctions and memory accesses are flly modelled. To give a self contained stdy, we present hereafter the necessary backgrond definitions. Secondly, we show the related work on the sbject, followed in the third section by a description of the compared protocols and their implementations. In the forth section we describe the experiments, and finally we present or reslts and conclding comments. 2. Backgrond and Definitions Or stdy focses on directory-based soltions and write-invalidate protocols. A memory location may have several readers bt only one writer. Hence, when a processor exectes a store instrction, all the copies of the targeted block mst be invalidated before the new vale is written. This ensre the coherency and seqential consistency of the memory. Two memory pdate policies named write-throgh and write-back are available with the writeinvalidate protocol. Write-throgh policy: A write reqest is sent to the main memory for each store issed by a processor. Ths, main memory is always p-to-date bt severe contention may appear on a bs based architectre. Write-back policy: This is the most widely sed policy. When a processor exectes a store instrction, it modifies the local copy bt no reqest is sent to the main memory. The modified block is said to be "dirty", it will be writtenback when it is evicted. This policy is commonly implemented as write-allocates: on a write miss the block is retrieved from memory and modified locally. The main advantage of this soltion is that only the necessary memory accesses are done (on a block basis however). 3. Related works Cache coherency has been a problem for architects from the earlier shared memory mltiprocessors systems. The first proposed soltions to maintain coherency are the Write-once, Illinois and Berkeley protocols, followed few years later by the Dragon and Firefly protocols. A review of these protocols was done by Archibal and Baer[4]. Other well-known reviews and stdies abot existing protocols [16, 2, 18] show that write-throgh invalidate is the less efficient protocol in a bs-like interconnect. Althogh previos cited work may seem a bit old, there is an p-to-date work comparing existing snooping protocols with cycle accrate simlations [11]. This work shows that Write-throgh Invalidate protocol is less efficient than write-back MESI. As we have stated before, write-back implementations take advantage of bs snooping facilities and leverage the bs locally pdating a block and writing back on eviction. With the se of non-bs interconnects, like crossbars or later NoC's soltions, directories schemes have been (and still are) a good soltion. Different soltions are reviewed in [16, 2]. With the growing nmber of caches and components in the same chips, interconnect latencies to access directory and foreign data is a major problem. There are several soltions like [12, 7] relying on specific network commnication and coherency message schemes, bt both of them still implement MESI like protocols. Protocol optimizations like [8, 9], try to hide or redce access latencies to foreign dirty blocks. Nevertheless, all proposed soltions (to or knowledge) allow a block to be dirty in a cache and ths falls in the write-back category. 4. Coherency protocols and implementation 4.1 Compared protocols: Write-throgh Invalidate (): This protocol is the simplest to implement as attested by its finite state machine diagram on figre 1. A write-throgh policy is combined with a write-invalidate protocol. When a write reqest is issed, the main memory, throgh its directory, will invalidate all the cached copies to ensre the coherency. Traffic overhead is the major drawback of this protocol. The main advantage is that the main memory always contains clean copies. Write-back MESI (): For comparison prposes we implemented a generic MESI like protocol (Illinois [13]). When a processor exectes a store instrction, the cache mst get the exclsivity of the targeted block. This reqest will invalidate all other copies, retrieve dirty blocks from foreign caches and allocate the clean block if needed. These actions can take a long time on a high latency NoC, and this is the major drawback of this protocol. Its finite state transition diagram can be seen in figre Protocols implementation Implementing a or protocol (at CABA or RTL level) can lead to completely different soltions. Hereafter we present the main distinctive behaviors of both protocols. We call a hop the delay needed to cross the NoC from one node to another, and se this nit to characterize the protocols actions. In or context a node is either a cache, its associated processor and its controller or the main memory, its controller and its directory. Transferring messages

3 The simlation environment: Or simlation platforms are bilt sing the Cycle Approximate, Bit Accrate comvi UJ z m S 4' L. I..C invalidation cp load / cp load/ RE.acl* cp store / Writ allocat 'invalidation_s cp load / rnii cp store,tnrp / c l invalidatiore cp ld cp load / cp store / Write cp store/cp load/ invalidation cp load / Read ( "I N cp store / Write processor reqest / issed command to memory transition - on memory directory reqest - transition on cp reqest * depends on the response states: Modified, Exclsive, Shared, Invalid, Valid be granted and all copies will be invalidated. This action is blocking for the data cache and the processor and costs 2 or 4 hops. In the second case, the block is allocated and can lead to an p to 6 hops action as shown in figre 2, which is blocking (stalls the processor) ntil step 4. Main memory * reqest to write-allocate a = l0dill 8 reqest to retrieve a clean copy Q3 response with a clean copy of the do 0 response with clean copy to processor 0 write-back of the Modified block 4' U ' U A0 Figre 2. a 6 hops example Figre 1. Finite State Diagram of the and protocols from node to node contribtes for almost all the data latencies. Hence, conting hops is a good comparison basis for the protocol's implementation efficiency. Read reqests: In both implementations, a read miss leads to at least a two hops reqest (read a clean copy from the memory). Nevertheless, in the block may be in Modified state in a foreign cache. In or implementation, the corresponding action is decomposed as follows: 1. a read reqest is sent by the cache to the main memory node. 2. the main memory node sends a reqest to the owner of the clean copy. 3. The foreign cache response contains a clean copy and pts his in Shared state. 4. The main memory node responds to the reqesting cache with a clean copy of the block. Write reqests: In, misses and hits are handled identically. A write command containing the modified word is sent to the main memory throgh its write bffer. The main memory node can respond immediately if there is no shared copies (2 hops action), or send invalidate commands to all the caches which contains a copy and waits for the acknowledgements before sending a response to the reqesting node (4 hops). These actions are non-blocking for the cache controller ntil the bffer is fll. In, a write misses when the block is either in Shared or Invalid state. In the first case, an exclsivity will [ processor action 11 ] read hit 0 0 read miss 2 b. hops 2 or 4 hops b., (+2 n.b.) write miss 2,4 hops n.b. 2, 4 blocking, (+2 n.b.) write hit S 2,4 hops n.b. 2, 4 hops blocking write hite - 0 write hit M 0 Table 1. Cost in hops of each reqest in both protocols, b. and n.b. stands for blocking and non-blocking reqests for the processor. In the table 1, we smmarize the cost of each action for both protocols. Or implementations can be optimized by allowing cache to cache transfers or any other architectral enhancement. For example, invalidation acknowledges can be sent directly to the reqesting node (cache) leveraging the memory node and saving one hop transfer. Nevertheless, or implementations were done with identical behaviors in actions steps, leading to a as fair as possible comparison. Moreover, typical protocol optimizations can often be applied on both protocols. 5. Experiments 5.1 Simlation environment and Hardware architectre

4 ponents of the SoCLib [1] library which ses the VCI [3] protocol to commnicate. This library allows to design and simlate in an easy way platforms with dozen of processors rnning cross-compiled software. Althogh most components (processors, caches, memories and many devices) are simlated with cycle-accrate precision, some are instead cycle-approximate. The main cycle-approximate component is the Generic Micro Network (GMN). This component does not trly represent a set of roters, bt a configrable crossbar like interconnect with internal delay fifos. Nevertheless, setting the minimm transfer delay and fifo's depth allows s to model a NoC with 2D mesh latencies and contention characteristics. This cycle-approximate component has no major impact in or reslts since it is sed for all the configrations and gives s fair comparisons between the different stdied protocols. RAM o RAM I shared maximm contention -, + 1 on memory bank I U ~~Generic Micro Network ISPARC V8 SPARC V8 ISPARC V8 SEMRAM Processor O Processor 31 Processo n-l IIziz RAM 0 RAM 1 RAM 2 RAM 3 RAM 4 RAM n+2 ~~~tack stackstc accesses sprayed over ~~~~~~m memory banks Modeled architectre description: In figre 3 we present or modelled architectres. The Sparc processors are connected to an instrction and data cache. The data cache and the memory implement one of the protocols (, ). The instrction and data cache se the same interconnect port in order to minimize the NoC area. As a reslt, high data workload can interfere with instrction misses reqests, increasing the average instrction access latency. We implemented two architectres to evalate the impact of the contention at memory banks in the protocols. As we can see, one has few memory banks which will receive reqests from p to 64 processors. The other one spreads the memory accesses among several memory banks (one per processor and three shared one). The table 2 smmarizes the main hardware characteristics. nmber of processors n {4, 16, 32, 64} nmber of memory banks m {2, n + 3} processor model SPARC-V8 with FPU data cache size 4Kb instrction cache size 4Kb data/instrction block size 32 bytes cache associativity Direct-mapped write-bffer size 8 words (32 bytes) NoC topology Mesh NoC Latency 3. 2 V/n +Tm+m 3 Table 2. Simlated platforms characteristics 5.2 Software architectre Application: On top of the CABA simlation platform, we exected the Ocean and Water SPLASH-2 benchmark tests. In [17] can be fond a detailed description of each Figre 3. Modeled architectres to compare coherency protocols with different levels of memory contention test bench with their main characteristics. This test bench site was designed to evalate Shared Memory Mltiprocessors architectres. It is widely sed and accepted by the research commnity. In or simlations, we execte a comparable workload per processor in each platform configration (4, 16, 32 and 64 processors). That way, the nmber of load/store instrctions exected by each processor will not decrease while increasing the processors nmber. The configration characteristics are presented briefly in figre 4. These test benches need some services, typically granted by a POSIX operating system. Hereafter we shortly describe the sed one and its possible configrations. Operating System configrations: We sed a lightweight operating system [14], which implements POSIX pthreads allowing to execte parallel tasks on mlticore systems. It can be configred in two ways: 1. Symmetric Schedling (SMP). In this configration the OS distribtes the workload on the available processors on a first come, first served basis. Hence, a task can migrate from processor to processor in an npredictable way. This configration is not sitable for large scale platforms since the centralized schedler access becomes a bottle-neck. 2. Decentralized Schedling (DS). This configration greatly limits contention as each processor has its own schedler. Tasks can be pin pointed on a desired processor in order to avoid migration.

5 Memory layot: On the architectre 1, we se the SMP kernel. All the shared and local data and thread stacks are in the same memory bank. This configration leads to a maximm memory workload and contention increasing accesses latencies. On the architectre 2, we se the DS version. Each thread is pin pointed to a dedicated processor. Its stack and local data are stored in a dedicated memory bank. Shared dynamic and static data are contained in different memory banks. The prpose of this layot is to spread as fairly as possible the accesses to all memory banks. Conseqently there are only few contention points and coherency protocol workload is slightly lower than in architectre Reslts and comments We present hereafter the obtained reslts on two SPLASH-2 benchmarks: exection time, NoC traffic and data latencies. 6.1 Exection time We rn the applications ntil completion and report the exection time for both architectres on figre 4: 1000 _ * 800 z EAN OCEAN: contigos partitions grid size: 4N+2 x 4N+2* error tolerance: protocol. This behavior was expected de to the centralized memory. In fact, sever contention appears on a specific memory bank as one that can be shown on a bs like interconnect with too many processors. DS: The exections took slightly less time. This is de to less contention on schedler strctres by the processors, and to a better repartition of the commnication workload since accesses are sprayed among all the memory banks. Moreover, pinpointing tasks on processors avoids migration overhead. Conseqently, the Ocean exection is p to 30% faster and the difference is increasing with the nmber of processors. In Water exection, both protocols give the same performances withot a clear advantage for one of them. These reslts show that, in or context, protocols performs well compared to ones. Moreover, ftre MPSoCs will embed many memory banks distribted across the die. As a reslt, protocols are a viable soltion in terms of performances. 6.2 Traffic load over the NoC As we can see in figre 5, the traffic is of the same magnitde order in both protocols. Differences in kernels, applications and architectres gives different behaviors. Therefore, there is not a clear advantage for none of the stdied protocols r r 7 1~ OCEAN]._ 'a 600 E 400 Xi 200 n centralized better than meoy*n :nmber of processors distribted memory better than WATER: spatial nsqared nmber of mols: 27 for N = { 4, 16) 64 for N = {32, 64) CD w in WER.S 70 architectre 1 architectre 1 architectre 2 architectre 2 x processors nmber architectre 1 architectre 1 architectre 2 architectre m3.107 ioe lo Figre 4. Exection time in Mega cycles for each simlation platform and both protocols 4 0 O processors nmber SMP: We see that and have almost the same exection times. Nevertheless, increasing the processors nmber above 32 gives an advantage to Figre 5. Total traffic on the NoC in bytes on a complete rn exection

6 6.3 Data cache stall cycles Instead of comparing directly data latencies, we compared the average stall time de to data cache accesses. The reason is that data latencies rely on loads instrctions only. Therefore, it does not show stall cycles de to fll write bffers and write-allocate actions. In the figre 6 we see that both protocols have almost identical reslts on both architectres. As expected, on architectre 1 there is more contention than on architectre 2. With more than 32 processors, the time being stalled by the data caches reaches almost 70% of the exection time. data cache stall cycles 100% architectre 1 80% 60% *,''.K< 40% 40% OCEAN architectre 1 8- architectre 2 Vi architectre 2 _O C processors nmber Figre 6. Percentage of data cache stall cycles 7. Conclsion In this paper we compared the memory pdate policies in NoC based shared memory mlticore systems implementing hardware coherence. Althogh there has been many works and stdies arond cache coherency, none of them (to or knowledge) has shown the sability of the very simple write-throgh-invalidate protocol. Moreover, all the new proposed protocols and optimizations make se of writeback policies. The obtained reslts have shown that in or context write-throgh-invalidate protocols are a possible and simple soltion to maintain coherency. This protocol performs very well compared to a classic write-back-mesi protocol in both exection time and generated traffic. The main limitation of this work is the lack of best-case / worstcase reslts wich will be done in ftre work. [2] A. Agarwal, R. Simoni, J. Hennessy, and M. Horowitz. An evalation of directory schemes for cache coherence. In ISCA '88. [3] V. Alliance. Virtal component interface standard (ocb 2 [4] 2.0) J. Archibald and J.-L. Baer. Cache coherence protocols: evalation sing a mltiprocessor simlation model. ACM Trans. Compt. Syst., 4(4): , [5] L. Censier and P. Featrier. A new soltion to coherence problems in mlticache systems. ieee Transactions on Compters, C-27: , [6] W. J. Dally and B. Towles. Rote packets, not wires: onchip inteconnection networks. In DAC '01: Proceedings of the 38th conference on Design atomation. [7] N. Eisley, L.-S. Peh, and L. Shang. In-network cache coherence. In MICRO 39: Proceedings of the 39th Annal IEEE/ACM International Symposim on Microarchitectre, [9] A.-C. Lai and B. Falsafi. Selective, accrate, and timely self-invalidation sing last-toch prediction. In ISCA '00. [10] J. Leverich, H. Arakida, A. Solomatnikov, A. Firoozshahian, [8] J. Hh, J. decopling: Chang, D. Brger, making se of incoherence. and G. S. Sohi. In ASPLOS-XI'04. Coherence M. Horowitz, and C. Kozyrakis. Comparing memory systems for chip mltiprocessors. In ISCA '07. [11] M. Loghi, M. Poncino, and L. Benini. Cache coherence tradeoffs in shared-memory mpsocs. Trans. on Embedded Compting Sys., 5(2), [12] M. M. K. Martin, M. D. Hill, and D. A. Wood. herence: decopling performance and correctness. Token co- In ISCA '03. [13] M. S. Papamarcos and J. H. Patel. A low-overhead coherence soltion for mltiprocessors with private cache memories. In ISCA '84: Proceedings of the 11th annal international symposim on Compter architectre. [14] F. P6trot and P. Gomez. Lightweight implementation of the posix threads api for an on-chip mips mltiprocessor with vci interconnect. In DATE, [15] P. Stenstrom. A srvey of cache coherence schemes for mltiprocessors. Compter, 23(6): 12-24, Jne [16] M. Tomasevic and V. Miltinovic. Hardware approaches coherence in shared-memory mltiprocessors, part 1. IEEE Micro, 14(5):52-59, [17] S. C. Woo, M. Ohara, E. Torrie, J. P. Singh, and A. Gpta. The splash-2 programs: characterization and methodological considerations. In ISCA '95: Proceedings of the 22nd annal international symposim on Compter architectre. [18] Q. Yang, L. N. Bhyan, and B.-C. Li. Analysis and comparison of cache coherence protocols for a packet-switched mltiprocessor. IEEE Trans. Compt., 38(8): , References [1] Soclib project. http: // Home. html.

CS 153 Design of Operating Systems Spring 18

CS 153 Design of Operating Systems Spring 18 CS 53 Design of Operating Systems Spring 8 Lectre 2: Virtal Memory Instrctor: Chengy Song Slide contribtions from Nael Ab-Ghazaleh, Harsha Madhyvasta and Zhiyn Qian Recap: cache Well-written programs exhibit

More information

Tdb: A Source-level Debugger for Dynamically Translated Programs

Tdb: A Source-level Debugger for Dynamically Translated Programs Tdb: A Sorce-level Debgger for Dynamically Translated Programs Naveen Kmar, Brce R. Childers, and Mary Lo Soffa Department of Compter Science University of Pittsbrgh Pittsbrgh, Pennsylvania 15260 {naveen,

More information

CS 153 Design of Operating Systems

CS 153 Design of Operating Systems CS 53 Design of Operating Systems Spring 8 Lectre 6: Paging Instrctor: Chengy Song Slide contribtions from Nael Ab-Ghazaleh, Harsha Madhyvasta and Zhiyn Qian Some slides modified from originals by Dave

More information

REPLICATION IN BANDWIDTH-SYMMETRIC BITTORRENT NETWORKS. M. Meulpolder, D.H.J. Epema, H.J. Sips

REPLICATION IN BANDWIDTH-SYMMETRIC BITTORRENT NETWORKS. M. Meulpolder, D.H.J. Epema, H.J. Sips REPLICATION IN BANDWIDTH-SYMMETRIC BITTORRENT NETWORKS M. Melpolder, D.H.J. Epema, H.J. Sips Parallel and Distribted Systems Grop Department of Compter Science, Delft University of Technology, the Netherlands

More information

IMPLEMENTATION OF OBJECT ORIENTED APPROACH TO MODIFIED ANT ALGORITHM FOR TASK SCHEDULING IN GRID COMPUTING

IMPLEMENTATION OF OBJECT ORIENTED APPROACH TO MODIFIED ANT ALGORITHM FOR TASK SCHEDULING IN GRID COMPUTING International Jornal of Modern Engineering Research (IJMER) www.imer.com Vol.1, Isse1, pp-134-139 ISSN: 2249-6645 IMPLEMENTATION OF OBJECT ORIENTED APPROACH TO MODIFIED ANT ALGORITHM FOR TASK SCHEDULING

More information

VirtuOS: an operating system with kernel virtualization

VirtuOS: an operating system with kernel virtualization VirtOS: an operating system with kernel virtalization Rslan Nikolaev, Godmar Back SOSP '13 Proceedings of the Twenty-Forth ACM Symposim on Oper ating Systems Principles 이영석, 신현호, 박재완 Index Motivation Design

More information

Evaluating Influence Diagrams

Evaluating Influence Diagrams Evalating Inflence Diagrams Where we ve been and where we re going Mark Crowley Department of Compter Science University of British Colmbia crowley@cs.bc.ca Agst 31, 2004 Abstract In this paper we will

More information

Making Full Use of Multi-Core ECUs with AUTOSAR Basic Software Distribution

Making Full Use of Multi-Core ECUs with AUTOSAR Basic Software Distribution Making Fll Use of Mlti-Core ECUs with AUTOSAR Basic Software Distribtion Webinar V0.1 2018-09-07 Agenda Motivation for Mlti-Core AUTOSAR Standard: SWC-Split MICROSAR Extension: BSW-Split BSW-Split: Technical

More information

On the Computational Complexity and Effectiveness of N-hub Shortest-Path Routing

On the Computational Complexity and Effectiveness of N-hub Shortest-Path Routing 1 On the Comptational Complexity and Effectiveness of N-hb Shortest-Path Roting Reven Cohen Gabi Nakibli Dept. of Compter Sciences Technion Israel Abstract In this paper we stdy the comptational complexity

More information

Networks An introduction to microcomputer networking concepts

Networks An introduction to microcomputer networking concepts Behavior Research Methods& Instrmentation 1978, Vol 10 (4),522-526 Networks An introdction to microcompter networking concepts RALPH WALLACE and RICHARD N. JOHNSON GA TX, Chicago, Illinois60648 and JAMES

More information

The Disciplined Flood Protocol in Sensor Networks

The Disciplined Flood Protocol in Sensor Networks The Disciplined Flood Protocol in Sensor Networks Yong-ri Choi and Mohamed G. Goda Department of Compter Sciences The University of Texas at Astin, U.S.A. fyrchoi, godag@cs.texas.ed Hssein M. Abdel-Wahab

More information

The Impact of Avatar Mobility on Distributed Server Assignment for Delivering Mobile Immersive Communication Environment

The Impact of Avatar Mobility on Distributed Server Assignment for Delivering Mobile Immersive Communication Environment This fll text paper was peer reviewed at the direction of IEEE Commnications Society sbject matter experts for pblication in the ICC 27 proceedings. The Impact of Avatar Mobility on Distribted Server Assignment

More information

Cautionary Aspects of Cross Layer Design: Context, Architecture and Interactions

Cautionary Aspects of Cross Layer Design: Context, Architecture and Interactions Cationary Aspects of Cross Layer Design: Context, Architectre and Interactions Vikas Kawadia and P. R. Kmar Dept. of Electrical and Compter Engineering, and Coordinated Science Lab University of Illinois,

More information

Optimal Sampling in Compressed Sensing

Optimal Sampling in Compressed Sensing Optimal Sampling in Compressed Sensing Joyita Dtta Introdction Compressed sensing allows s to recover objects reasonably well from highly ndersampled data, in spite of violating the Nyqist criterion. In

More information

(2, 4) Tree Example (2, 4) Tree: Insertion

(2, 4) Tree Example (2, 4) Tree: Insertion Presentation for se with the textbook, Algorithm Design and Applications, by M. T. Goodrich and R. Tamassia, Wiley, 2015 B-Trees and External Memory (2, 4) Trees Each internal node has 2 to 4 children:

More information

TDT4255 Friday the 21st of October. Real world examples of pipelining? How does pipelining influence instruction

TDT4255 Friday the 21st of October. Real world examples of pipelining? How does pipelining influence instruction Review Friday the 2st of October Real world eamples of pipelining? How does pipelining pp inflence instrction latency? How does pipelining inflence instrction throghpt? What are the three types of hazard

More information

CS 153 Design of Operating Systems Spring 18

CS 153 Design of Operating Systems Spring 18 CS 153 Design of Operating Systems Spring 18 Lectre 8: Threads Instrctor: Chengy Song Slide contribtions from Nael Ab-Ghazaleh, Harsha Madhyvasta and Zhiyn Qian Processes P1 P2 Recall that Bt OS A process

More information

Introduction to Windows Server Copyright 2018 NY SYSTEMS INC.

Introduction to Windows Server Copyright 2018 NY SYSTEMS INC. Introdction to Windows Server 2008 1 Learning Objectives Identify the key featres of each Windows Server 2008 edition Understand client systems that can be sed with Windows Server 2008 Identify important

More information

CS 153 Design of Operating Systems Spring 18

CS 153 Design of Operating Systems Spring 18 CS 153 Design of Operating Systems Spring 18 Lectre 11: Semaphores Instrctor: Chengy Song Slide contribtions from Nael Ab-Ghazaleh, Harsha Madhyvasta and Zhiyn Qian Last time Worked throgh software implementation

More information

An Adaptive Strategy for Maximizing Throughput in MAC layer Wireless Multicast

An Adaptive Strategy for Maximizing Throughput in MAC layer Wireless Multicast University of Pennsylvania ScholarlyCommons Departmental Papers (ESE) Department of Electrical & Systems Engineering May 24 An Adaptive Strategy for Maximizing Throghpt in MAC layer Wireless Mlticast Prasanna

More information

What s New in AppSense Management Suite Version 7.0?

What s New in AppSense Management Suite Version 7.0? What s New in AMS V7.0 What s New in AppSense Management Site Version 7.0? AppSense Management Site Version 7.0 is the latest version of the AppSense prodct range and comprises three prodct components,

More information

Fault Tolerance in Hypercubes

Fault Tolerance in Hypercubes Falt Tolerance in Hypercbes Shobana Balakrishnan, Füsn Özgüner, and Baback A. Izadi Department of Electrical Engineering, The Ohio State University, Colmbs, OH 40, USA Abstract: This paper describes different

More information

CSE 141 Computer Architecture Summer Session I, Lectures 10 Advanced Topics, Memory Hierarchy and Cache. Pramod V. Argade

CSE 141 Computer Architecture Summer Session I, Lectures 10 Advanced Topics, Memory Hierarchy and Cache. Pramod V. Argade CSE 141 Compter Architectre Smmer Session I, 2004 Lectres 10 Advanced Topics, emory Hierarchy and Cache Pramod V. Argade CSE141: Introdction to Compter Architectre Instrctor: TA: Pramod V. Argade (p2argade@cs.csd.ed)

More information

CS 153 Design of Operating Systems

CS 153 Design of Operating Systems CS 153 Design of Operating Systems Spring 18 Lectre 3: OS model and Architectral Spport Instrctor: Chengy Song Slide contribtions from Nael Ab-Ghazaleh, Harsha Madhyvasta and Zhiyn Qian Last time/today

More information

5 Performance Evaluation

5 Performance Evaluation 5 Performance Evalation his chapter evalates the performance of the compared to the MIP, and FMIP individal performances. We stdy the packet loss and the latency to restore the downstream and pstream of

More information

The final datapath. M u x. Add. 4 Add. Shift left 2. PCSrc. RegWrite. MemToR. MemWrite. Read data 1 I [25-21] Instruction. Read. register 1 Read.

The final datapath. M u x. Add. 4 Add. Shift left 2. PCSrc. RegWrite. MemToR. MemWrite. Read data 1 I [25-21] Instruction. Read. register 1 Read. The final path PC 4 Add Reg Shift left 2 Add PCSrc Instrction [3-] Instrction I [25-2] I [2-6] I [5 - ] register register 2 register 2 Registers ALU Zero Reslt ALUOp em Data emtor RegDst ALUSrc em I [5

More information

Real-time mean-shift based tracker for thermal vision systems

Real-time mean-shift based tracker for thermal vision systems 9 th International Conference on Qantitative InfraRed Thermography Jly -5, 008, Krakow - Poland Real-time mean-shift based tracker for thermal vision systems G. Bieszczad* T. Sosnowski** * Military University

More information

Garnet2.0: A Detailed On-Chip Network Model Inside a Full-System Simulator

Garnet2.0: A Detailed On-Chip Network Model Inside a Full-System Simulator Garnet2.0: A Detailed On-Chip Network Model Inside a Fll-System Simlator Tshar Krishna gem5 workshop ARM Research Smmit September 11, 2017 Assistant Professor School of ECE and CS Georgia Institte of Technology

More information

IEEE TRANSACTIONS ON WIRELESS COMMUNICATIONS, VOL. 6, NO. 5, MAY On the Analysis of the Bluetooth Time Division Duplex Mechanism

IEEE TRANSACTIONS ON WIRELESS COMMUNICATIONS, VOL. 6, NO. 5, MAY On the Analysis of the Bluetooth Time Division Duplex Mechanism IEEE TRANSACTIONS ON WIRELESS COMMUNICATIONS, VOL. 6, NO. 5, MAY 2007 1 On the Analysis of the Bletooth Time Division Dplex Mechanism Gil Zssman Member, IEEE, Adrian Segall Fellow, IEEE, and Uri Yechiali

More information

CS 153 Design of Operating Systems

CS 153 Design of Operating Systems CS 153 Design of Operating Systems Spring 18 Lectre 23: File Systems (2) Instrctor: Chengy Song Slide contribtions from Nael Ab-Ghazaleh, Harsha Madhyvasta and Zhiyn Qian Last time Abstractions for the

More information

Master for Co-Simulation Using FMI

Master for Co-Simulation Using FMI Master for Co-Simlation Using FMI Jens Bastian Christoph Claß Ssann Wolf Peter Schneider Franhofer Institte for Integrated Circits IIS / Design Atomation Division EAS Zenerstraße 38, 69 Dresden, Germany

More information

Local Run Manager. Software Reference Guide for MiSeqDx

Local Run Manager. Software Reference Guide for MiSeqDx Local Rn Manager Software Reference Gide for MiSeqDx Local Rn Manager Overview 3 Dashboard Overview 4 Administrative Settings and Tasks 7 Workflow Overview 12 Technical Assistance 17 Docment # 1000000011880

More information

EMC ViPR. User Guide. Version

EMC ViPR. User Guide. Version EMC ViPR Version 1.1.0 User Gide 302-000-481 01 Copyright 2013-2014 EMC Corporation. All rights reserved. Pblished in USA. Pblished Febrary, 2014 EMC believes the information in this pblication is accrate

More information

Membership Library in DPDK Sameh Gobriel & Charlie Tai - Intel DPDK US Summit - San Jose

Membership Library in DPDK Sameh Gobriel & Charlie Tai - Intel DPDK US Summit - San Jose Membership Library in DPDK 17.11 Sameh Gobriel & Charlie Tai - Intel DPDK US Smmit - San Jose - 2017 Contribtors Yipeng Wang yipeng1.wang@intel.com Ren Wang ren.wang@intel.com John Mcnamara john.mcnamara@intel.com

More information

Constructing Multiple Light Multicast Trees in WDM Optical Networks

Constructing Multiple Light Multicast Trees in WDM Optical Networks Constrcting Mltiple Light Mlticast Trees in WDM Optical Networks Weifa Liang Department of Compter Science Astralian National University Canberra ACT 0200 Astralia wliang@csaneda Abstract Mlticast roting

More information

Overview of Pipelining

Overview of Pipelining EEC 58 Compter Architectre Pipelining Department of Electrical Engineering and Compter Science Cleveland State University Fndamental Principles Overview of Pipelining Pipelined Design otivation: Increase

More information

Resolving Linkage Anomalies in Extracted Software System Models

Resolving Linkage Anomalies in Extracted Software System Models Resolving Linkage Anomalies in Extracted Software System Models Jingwei W and Richard C. Holt School of Compter Science University of Waterloo Waterloo, Canada j25w, holt @plg.waterloo.ca Abstract Program

More information

Lecture 13: Traffic Engineering

Lecture 13: Traffic Engineering Lectre 13: Traffic Engineering CSE 222A: Compter Commnication Networks Alex C. Snoeren Thanks: Mike Freedman, Nick Feamster, and Ming Zhang Lectre 13 Overview Dealing with mltiple paths Mltihoming Entact

More information

CS 153 Design of Operating Systems Spring 18

CS 153 Design of Operating Systems Spring 18 CS 153 Design of Operating Systems Spring 18 Midterm Review Midterm in class on Friday (May 4) Covers material from arch spport to deadlock Based pon lectre material and modles of the book indicated on

More information

EXAMINATIONS 2010 END OF YEAR NWEN 242 COMPUTER ORGANIZATION

EXAMINATIONS 2010 END OF YEAR NWEN 242 COMPUTER ORGANIZATION EXAINATIONS 2010 END OF YEAR COPUTER ORGANIZATION Time Allowed: 3 Hors (180 mintes) Instrctions: Answer all qestions. ake sre yor answers are clear and to the point. Calclators and paper foreign langage

More information

Prof. Kozyrakis. 1. (10 points) Consider the following fragment of Java code:

Prof. Kozyrakis. 1. (10 points) Consider the following fragment of Java code: EE8 Winter 25 Homework #2 Soltions De Thrsday, Feb 2, 5 P. ( points) Consider the following fragment of Java code: for (i=; i

More information

Isilon InsightIQ. Version 2.5. User Guide

Isilon InsightIQ. Version 2.5. User Guide Isilon InsightIQ Version 2.5 User Gide Pblished March, 2014 Copyright 2010-2014 EMC Corporation. All rights reserved. EMC believes the information in this pblication is accrate as of its pblication date.

More information

EMC M&R (Watch4net ) Installation and Configuration Guide. Version 6.4 P/N REV 02

EMC M&R (Watch4net ) Installation and Configuration Guide. Version 6.4 P/N REV 02 EMC M&R (Watch4net ) Version 6.4 Installation and Configration Gide P/N 302-001-045 REV 02 Copyright 2012-2014 EMC Corporation. All rights reserved. Pblished in USA. Pblished September, 2014 EMC believes

More information

Multi-lingual Multi-media Information Retrieval System

Multi-lingual Multi-media Information Retrieval System Mlti-lingal Mlti-media Information Retrieval System Shoji Mizobchi, Sankon Lee, Fmihiko Kawano, Tsyoshi Kobayashi, Takahiro Komats Gradate School of Engineering, University of Tokshima 2-1 Minamijosanjima,

More information

A Hybrid Weight-Based Clustering Algorithm for Wireless Sensor Networks

A Hybrid Weight-Based Clustering Algorithm for Wireless Sensor Networks Open Access Library Jornal A Hybrid Weight-Based Clstering Algorithm for Wireless Sensor Networks Cheikh Sidy Mohamed Cisse, Cheikh Sarr * Faclty of Science and Technology, University of Thies, Thies,

More information

NETWORK PRESERVATION THROUGH A TOPOLOGY CONTROL ALGORITHM FOR WIRELESS MESH NETWORKS

NETWORK PRESERVATION THROUGH A TOPOLOGY CONTROL ALGORITHM FOR WIRELESS MESH NETWORKS ETWORK PRESERVATIO THROUGH A TOPOLOGY COTROL ALGORITHM FOR WIRELESS MESH ETWORKS F. O. Aron, T. O. Olwal, A. Krien, Y. Hamam Tshwane University of Technology, Pretoria, Soth Africa. Dept of the French

More information

10 Parallel Organizations: Multiprocessor / Multicore / Multicomputer Systems

10 Parallel Organizations: Multiprocessor / Multicore / Multicomputer Systems 1 License: http://creativecommons.org/licenses/by-nc-nd/3.0/ 10 Parallel Organizations: Multiprocessor / Multicore / Multicomputer Systems To enhance system performance and, in some cases, to increase

More information

CS 153 Design of Operating Systems Spring 18

CS 153 Design of Operating Systems Spring 18 CS 153 Design of Operating Systems Spring 18 Lectre 15: Virtal Address Space Instrctor: Chengy Song Slide contribtions from Nael Ab-Ghazaleh, Harsha Madhyvasta and Zhiyn Qian OS Abstractions Applications

More information

CCNoC: Specializing On-Chip Interconnects for Energy Efficiency in Cache-Coherent Servers

CCNoC: Specializing On-Chip Interconnects for Energy Efficiency in Cache-Coherent Servers CCNoC: Specializing On-Chip Interconnects for Energy Efficiency in Cache-Coherent Servers Stavros Volos, Ciprian Seiculescu, Boris Grot, Naser Khosro Pour, Babak Falsafi, and Giovanni De Micheli Toward

More information

Today s Lecture. Software Architecture. Lecture 27: Introduction to Software Architecture. Introduction and Background of

Today s Lecture. Software Architecture. Lecture 27: Introduction to Software Architecture. Introduction and Background of Today s Lectre Lectre 27: Introdction to Software Architectre Kenneth M. Anderson Fondations of Software Engineering CSCI 5828 - Spring Semester, 1999 Introdction and Backgrond of Software Architectre

More information

A Recovery Algorithm for Reliable Multicasting in Reliable Networks

A Recovery Algorithm for Reliable Multicasting in Reliable Networks A Recovery Algorithm for Reliable Mlticasting in Reliable Networks Danyang Zhang Sibabrata Ray Dept. of Compter Science University of Alabama Tscaloosa, AL 35487 {dzhang, sib}@cs.a.ed Ragopal Kannan S.

More information

Nash Convergence of Gradient Dynamics in General-Sum Games. Michael Kearns.

Nash Convergence of Gradient Dynamics in General-Sum Games. Michael Kearns. Convergence of Gradient Dynamics in General-Sm Games Satinder Singh AT&T Labs Florham Park, NJ 7932 bavejaresearch.att.com Michael Kearns AT&T Labs Florham Park, NJ 7932 mkearnsresearch.att.com Yishay

More information

Requirements Engineering. Objectives. System requirements. Types of requirements. FAQS about requirements. Requirements problems

Requirements Engineering. Objectives. System requirements. Types of requirements. FAQS about requirements. Requirements problems Reqirements Engineering Objectives An introdction to reqirements Gerald Kotonya and Ian Sommerville To introdce the notion of system reqirements and the reqirements process. To explain how reqirements

More information

Energy-Efficient Mobile-Edge Computation Offloading for Applications with Shared Data

Energy-Efficient Mobile-Edge Computation Offloading for Applications with Shared Data Energy-Efficient Mobile-Edge Comptation Offloading for Applications with Shared Data Xiangy He, Hong Xing, Ye Chen, Armgam Nallanathan School of Electronic Engineering and Compter Science Qeen Mary University

More information

Cost Based Local Forwarding Transmission Schemes for Two-hop Cellular Networks

Cost Based Local Forwarding Transmission Schemes for Two-hop Cellular Networks Cost Based Local Forwarding Transmission Schemes for Two-hop Celllar Networks Zhenggang Zhao, Xming Fang, Yan Long, Xiaopeng H, Ye Zhao Key Lab of Information Coding & Transmission Sothwest Jiaotong University,

More information

Date: December 5, 1999 Dist'n: T1E1.4

Date: December 5, 1999 Dist'n: T1E1.4 12/4/99 1 T1E14/99-559 Project: T1E14: VDSL Title: Vectored VDSL (99-559) Contact: J Cioffi, G Ginis, W Y Dept of EE, Stanford U, Stanford, CA 945 Cioffi@stanforded, 1-65-723-215, F: 1-65-724-3652 Date:

More information

Unit Testing with VectorCAST and AUTOSAR

Unit Testing with VectorCAST and AUTOSAR Unit Testing with VectorCAST and AUTOSAR Vector TechDay Software Testing with VectorCAST V1.0 2018-11-15 Agenda Introdction Unit Testing Demo Working with AUTOSAR Generated Code Unit Testing AUTOSAR SWCs

More information

IoT-Cloud Service Optimization in Next Generation Smart Environments

IoT-Cloud Service Optimization in Next Generation Smart Environments 1 IoT-Clod Service Optimization in Next Generation Smart Environments Marc Barcelo, Alejandro Correa, Jaime Llorca, Antonia M. Tlino, Jose Lopez Vicario, Antoni Morell Universidad Atonoma de Barcelona,

More information

Module 5: Performance Issues in Shared Memory and Introduction to Coherence Lecture 10: Introduction to Coherence. The Lecture Contains:

Module 5: Performance Issues in Shared Memory and Introduction to Coherence Lecture 10: Introduction to Coherence. The Lecture Contains: The Lecture Contains: Four Organizations Hierarchical Design Cache Coherence Example What Went Wrong? Definitions Ordering Memory op Bus-based SMP s file:///d /...audhary,%20dr.%20sanjeev%20k%20aggrwal%20&%20dr.%20rajat%20moona/multi-core_architecture/lecture10/10_1.htm[6/14/2012

More information

Bias of Higher Order Predictive Interpolation for Sub-pixel Registration

Bias of Higher Order Predictive Interpolation for Sub-pixel Registration Bias of Higher Order Predictive Interpolation for Sb-pixel Registration Donald G Bailey Institte of Information Sciences and Technology Massey University Palmerston North, New Zealand D.G.Bailey@massey.ac.nz

More information

Computer Systems Architecture

Computer Systems Architecture Computer Systems Architecture Lecture 24 Mahadevan Gomathisankaran April 29, 2010 04/29/2010 Lecture 24 CSCE 4610/5610 1 Reminder ABET Feedback: http://www.cse.unt.edu/exitsurvey.cgi?csce+4610+001 Student

More information

MULTIPROCESSORS AND THREAD-LEVEL. B649 Parallel Architectures and Programming

MULTIPROCESSORS AND THREAD-LEVEL. B649 Parallel Architectures and Programming MULTIPROCESSORS AND THREAD-LEVEL PARALLELISM B649 Parallel Architectures and Programming Motivation behind Multiprocessors Limitations of ILP (as already discussed) Growing interest in servers and server-performance

More information

EEC 483 Computer Organization. Branch (Control) Hazards

EEC 483 Computer Organization. Branch (Control) Hazards EEC 483 Compter Organization Section 4.8 Branch Hazards Section 4.9 Exceptions Chans Y Branch (Control) Hazards While execting a previos branch, next instrction address might not yet be known. s n i o

More information

THE Unit Commitment problem (UCP) is the problem of

THE Unit Commitment problem (UCP) is the problem of IEEE TRANS IN POWER SYSTEMS 1 A new MILP-based approach for Unit Commitment in power prodction planning. Ana Viana and João Pedro Pedroso Abstract This paper presents a novel, iterative optimisation algorithm

More information

MULTIPROCESSORS AND THREAD-LEVEL PARALLELISM. B649 Parallel Architectures and Programming

MULTIPROCESSORS AND THREAD-LEVEL PARALLELISM. B649 Parallel Architectures and Programming MULTIPROCESSORS AND THREAD-LEVEL PARALLELISM B649 Parallel Architectures and Programming Motivation behind Multiprocessors Limitations of ILP (as already discussed) Growing interest in servers and server-performance

More information

EXAMINATIONS 2003 END-YEAR COMP 203. Computer Organisation

EXAMINATIONS 2003 END-YEAR COMP 203. Computer Organisation EXAINATIONS 2003 COP203 END-YEAR Compter Organisation Time Allowed: 3 Hors (180 mintes) Instrctions: Answer all qestions. There are 180 possible marks on the eam. Calclators and foreign langage dictionaries

More information

An Introduction to GPU Computing. Aaron Coutino MFCF

An Introduction to GPU Computing. Aaron Coutino MFCF An Introdction to GPU Compting Aaron Cotino acotino@waterloo.ca MFCF What is a GPU? A GPU (Graphical Processing Unit) is a special type of processor that was designed to render and maniplate textres. They

More information

Maximal Cliques in Unit Disk Graphs: Polynomial Approximation

Maximal Cliques in Unit Disk Graphs: Polynomial Approximation Maximal Cliqes in Unit Disk Graphs: Polynomial Approximation Rajarshi Gpta, Jean Walrand, Oliier Goldschmidt 2 Department of Electrical Engineering and Compter Science Uniersity of California, Berkeley,

More information

Pipelined van Emde Boas Tree: Algorithms, Analysis, and Applications

Pipelined van Emde Boas Tree: Algorithms, Analysis, and Applications This fll text paper was peer reviewed at the direction of IEEE Commnications Society sbject matter experts for pblication in the IEEE INFOCOM 007 proceedings Pipelined van Emde Boas Tree: Algorithms, Analysis,

More information

Pavlin and Daniel D. Corkill. Department of Computer and Information Science University of Massachusetts Amherst, Massachusetts 01003

Pavlin and Daniel D. Corkill. Department of Computer and Information Science University of Massachusetts Amherst, Massachusetts 01003 From: AAAI-84 Proceedings. Copyright 1984, AAAI (www.aaai.org). All rights reserved. SELECTIVE ABSTRACTION OF AI SYSTEM ACTIVITY Jasmina Pavlin and Daniel D. Corkill Department of Compter and Information

More information

CS 153 Design of Operating Systems

CS 153 Design of Operating Systems CS 153 Design of Operating Systems Spring 18 Lectre 17: Advanced Paging Instrctor: Chengy Song Slide contribtions from Nael Ab-Ghazaleh, Harsha Madhyvasta and Zhiyn Qian Some slides modified from originals

More information

Portland State University ECE 588/688. Directory-Based Cache Coherence Protocols

Portland State University ECE 588/688. Directory-Based Cache Coherence Protocols Portland State University ECE 588/688 Directory-Based Cache Coherence Protocols Copyright by Alaa Alameldeen and Haitham Akkary 2018 Why Directory Protocols? Snooping-based protocols may not scale All

More information

Addressing in Future Internet: Problems, Issues, and Approaches

Addressing in Future Internet: Problems, Issues, and Approaches Addressing in Ftre Internet: Problems, Isses, and Approaches Mltimedia and Mobile commnications Laboratory Seol National University Jaeyong Choi, Chlhyn Park, Hakyng Jng, Taekyong Kwon, Yanghee Choi 19

More information

Computer-Aided Mechanical Design Using Configuration Spaces

Computer-Aided Mechanical Design Using Configuration Spaces Compter-Aided Mechanical Design Using Configration Spaces Leo Joskowicz Institte of Compter Science The Hebrew University Jersalem 91904, Israel E-mail: josko@cs.hji.ac.il Elisha Sacks (corresponding athor)

More information

CS 153 Design of Operating Systems Spring 18

CS 153 Design of Operating Systems Spring 18 CS 153 Design of Operating Systems Spring 18 Lectre 9: Synchronization (1) Instrctor: Chengy Song Slide contribtions from Nael Ab-Ghazaleh, Harsha Madhyvasta and Zhiyn Qian Cooperation between Threads

More information

A GENERIC MODEL OF A BASE-ISOLATED BUILDING

A GENERIC MODEL OF A BASE-ISOLATED BUILDING Chapter 5 A GENERIC MODEL OF A BASE-ISOLATED BUILDING This chapter draws together the work o Chapters 3 and 4 and describes the assembly o a generic model o a base-isolated bilding. The irst section describes

More information

CS 251, Winter 2018, Assignment % of course mark

CS 251, Winter 2018, Assignment % of course mark CS 25, Winter 28, Assignment 4.. 3% of corse mark De Wednesday, arch 7th, 4:3P Lates accepted ntil Thrsday arch 8th, am with a 5% penalty. (6 points) In the diagram below, the mlticycle compter from the

More information

Millimeter-Wave Multi-Hop Wireless Backhauling for 5G Cellular Networks

Millimeter-Wave Multi-Hop Wireless Backhauling for 5G Cellular Networks 2017 IEEE 85th Vehiclar Technology Conference (VTC-Spring) Millimeter-Wave Mlti-Hop Wireless Backhaling for 5G Celllar Networks B. P. S. Sahoo, Chn-Han Yao, and Hng-Y Wei Gradate Institte of Electrical

More information

DPDK s Best Kept Secret: Micro-benchmarks. M Jay DPDK Summit - San Jose 2017

DPDK s Best Kept Secret: Micro-benchmarks. M Jay DPDK Summit - San Jose 2017 DPDK s Best Kept Secret: Micro-benchmarks M Jay Mthrajan.Jayakmar@intel.com DPDK Smmit - San Jose 2017 Legal Information Optimization Notice: Intel s compilers may or may not optimize to the same degree

More information

CS 251, Winter 2019, Assignment % of course mark

CS 251, Winter 2019, Assignment % of course mark CS 25, Winter 29, Assignment.. 3% of corse mark De Wednesday, arch 3th, 5:3P Lates accepted ntil Thrsday arch th, pm with a 5% penalty. (7 points) In the diagram below, the mlticycle compter from the corse

More information

Constructing and Comparing User Mobility Profiles for Location-based Services

Constructing and Comparing User Mobility Profiles for Location-based Services Constrcting and Comparing User Mobility Profiles for Location-based Services Xihi Chen Interdisciplinary Centre for Secrity, Reliability and Trst, University of Lxemborg Jn Pang Compter Science and Commnications,

More information

Clustering and Clustering

Clustering and Clustering Clstering and Clstering Tools Testing Migrate configration settings Qick configration and trobleshooting Storage configration Performance and reliability Secrity 20 Enhanced Web Services Microsoft Internet

More information

Alliances and Bisection Width for Planar Graphs

Alliances and Bisection Width for Planar Graphs Alliances and Bisection Width for Planar Graphs Martin Olsen 1 and Morten Revsbæk 1 AU Herning Aarhs University, Denmark. martino@hih.a.dk MADAGO, Department of Compter Science Aarhs University, Denmark.

More information

Efficient Scheduling for Periodic Aggregation Queries in Multihop Sensor Networks

Efficient Scheduling for Periodic Aggregation Queries in Multihop Sensor Networks 1 Efficient Schedling for Periodic Aggregation Qeries in Mltihop Sensor Networks XiaoHa X, Shaojie Tang, Member, IEEE, XiangYang Li, Senior Member, IEEE Abstract In this work, we stdy periodic qery schedling

More information

EMC AppSync. User Guide. Version REV 01

EMC AppSync. User Guide. Version REV 01 EMC AppSync Version 1.5.0 User Gide 300-999-948 REV 01 Copyright 2012-2013 EMC Corporation. All rights reserved. Pblished in USA. EMC believes the information in this pblication is accrate as of its pblication

More information

Continuity Smooth Path Planning Using Cubic Polynomial Interpolation with Membership Function

Continuity Smooth Path Planning Using Cubic Polynomial Interpolation with Membership Function J Electr Eng Technol Vol., No.?: 74-?, 5 http://dx.doi.org/.537/jeet.5..?.74 ISSN(Print) 975- ISSN(Online) 93-743 Continity Smooth Path Planning Using Cbic Polomial Interpolation with Membership Fnction

More information

Broadcasting XORs: On the Application of Network Coding in Access Point-to-Multipoint Networks

Broadcasting XORs: On the Application of Network Coding in Access Point-to-Multipoint Networks Broadcasting XORs: On the Application of Network Coding in Access Point-to-Mltipoint Networks The MIT Faclty has made this article openly available Please share how this access benefits yo Yor story matters

More information

Method to build an initial adaptive Neuro-Fuzzy controller for joints control of a legged robot

Method to build an initial adaptive Neuro-Fuzzy controller for joints control of a legged robot Method to bild an initial adaptive Nero-Fzzy controller for joints control of a legged robot J-C Habmremyi, P. ool and Y. Badoin Royal Military Academy-Free University of Brssels 08 Hobbema str, box:mrm,

More information

CS 251, Spring 2018, Assignment 3.0 3% of course mark

CS 251, Spring 2018, Assignment 3.0 3% of course mark CS 25, Spring 28, Assignment 3. 3% of corse mark De onday, Jne 25th, 5:3 P. (5 points) Consider the single-cycle compter shown on page 6 of this assignment. Sppose the circit elements take the following

More information

Multiprocessors & Thread Level Parallelism

Multiprocessors & Thread Level Parallelism Multiprocessors & Thread Level Parallelism COE 403 Computer Architecture Prof. Muhamed Mudawar Computer Engineering Department King Fahd University of Petroleum and Minerals Presentation Outline Introduction

More information

Cache Coherence. CMU : Parallel Computer Architecture and Programming (Spring 2012)

Cache Coherence. CMU : Parallel Computer Architecture and Programming (Spring 2012) Cache Coherence CMU 15-418: Parallel Computer Architecture and Programming (Spring 2012) Shared memory multi-processor Processors read and write to shared variables - More precisely: processors issues

More information

Designing and Optimization of Heterogeneous OTN/DWDM Networks with Intermediate Grooming

Designing and Optimization of Heterogeneous OTN/DWDM Networks with Intermediate Grooming Designing and Optimization of Heterogeneos OTN/DWDM Networks with Intermediate Grooming Afonso Oliveira IST (METI afonso.oliveira@ist.tl.pt ABSTRACT The coexistence of annels with different bitrates in

More information

BIS - Basic Package V4.6

BIS - Basic Package V4.6 Engineered Soltions BIS - Basic Package V4.6 BIS - Basic Package V4.6 www.boschsecrity.com The Bilding Integration System (BIS) BIS is a flexible, scalable secrity and safety management system that can

More information

Functions of Combinational Logic

Functions of Combinational Logic CHPTER 6 Fnctions of Combinational Logic CHPTER OUTLINE 6 6 6 6 6 5 6 6 6 7 6 8 6 9 6 6 Half and Fll dders Parallel inary dders Ripple Carry and Look-head Carry dders Comparators Decoders Encoders Code

More information

FINITE ELEMENT APPROXIMATION OF CONVECTION DIFFUSION PROBLEMS USING GRADED MESHES

FINITE ELEMENT APPROXIMATION OF CONVECTION DIFFUSION PROBLEMS USING GRADED MESHES FINITE ELEMENT APPROXIMATION OF CONVECTION DIFFUSION PROBLEMS USING GRADED MESHES RICARDO G. DURÁN AND ARIEL L. LOMBARDI Abstract. We consider the nmerical approximation of a model convection-diffsion

More information

Illumina LIMS. Software Guide. For Research Use Only. Not for use in diagnostic procedures. Document # June 2017 ILLUMINA PROPRIETARY

Illumina LIMS. Software Guide. For Research Use Only. Not for use in diagnostic procedures. Document # June 2017 ILLUMINA PROPRIETARY Illmina LIMS Software Gide Jne 2017 ILLUMINA PROPRIETARY This docment and its contents are proprietary to Illmina, Inc. and its affiliates ("Illmina"), and are intended solely for the contractal se of

More information

Chapter 6: Pipelining

Chapter 6: Pipelining CSE 322 COPUTER ARCHITECTURE II Chapter 6: Pipelining Chapter 6: Pipelining Febrary 10, 2000 1 Clothes Washing CSE 322 COPUTER ARCHITECTURE II The Assembly Line Accmlate dirty clothes in hamper Place in

More information

Lecture 4: Routing. CSE 222A: Computer Communication Networks Alex C. Snoeren. Thanks: Amin Vahdat

Lecture 4: Routing. CSE 222A: Computer Communication Networks Alex C. Snoeren. Thanks: Amin Vahdat Lectre 4: Roting CSE 222A: Compter Commnication Networks Alex C. Snoeren Thanks: Amin Vahdat Lectre 4 Overview Pop qiz Paxon 95 discssion Brief intro to overlay and active networking 2 End-to-End Roting

More information

A choice relation framework for supporting category-partition test case generation

A choice relation framework for supporting category-partition test case generation Title A choice relation framework for spporting category-partition test case generation Athor(s) Chen, TY; Poon, PL; Tse, TH Citation Ieee Transactions On Software Engineering, 2003, v. 29 n. 7, p. 577-593

More information

CS/ECE 757: Advanced Computer Architecture II (Parallel Computer Architecture) Symmetric Multiprocessors Part 1 (Chapter 5)

CS/ECE 757: Advanced Computer Architecture II (Parallel Computer Architecture) Symmetric Multiprocessors Part 1 (Chapter 5) CS/ECE 757: Advanced Computer Architecture II (Parallel Computer Architecture) Symmetric Multiprocessors Part 1 (Chapter 5) Copyright 2001 Mark D. Hill University of Wisconsin-Madison Slides are derived

More information