Radiation Tolerant FPGA Update

Size: px
Start display at page:

Download "Radiation Tolerant FPGA Update"

Transcription

1 Radiation Tolerant FPGA Update Ken O Neill Director of Marketing, Space and Aviation Microsemi SOC Group MRQW January 28, Microsemi Corporation.. 1

2 Agenda Current Status In-Service Radiation Tolerant FPGAs Next-Generation FPGA for Space Applications Qualification Plans Conclusion and Next Steps 2014 Microsemi Corporation. 2

3 In-Service RT FPGAs Update RTSX-SU Over 2,000 SEU-hardened flip flops EAR controlled, no longer ITAR Flight heritage since 2005 RTAX-S/SL/DSP Over 20,000 SEU-hardened flip flops Over 500kbits on-board SRAM Up to 120 multiply-accumulate blocks EAR controlled, no longer ITAR Flight heritage since 2007 Mars Reconnaissance Orbiter RTSX-SU on board (2005) Cosmo Skymed 1 4 RTAX on board (2007) RT ProASIC3 First Flash-based FPGA in space Reprogrammable and non-volatile EAR controlled, no longer ITAR Now QML class Q qualified NASA IRIS RT ProASIC3 on board (2013)

4 TM Next Generation Radiation-Tolerant FPGAs 2014 Microsemi Corporation..

5 RT FPGAs Migrating to High Speed Processing Logic Density 150 KLE 20 KLE RTAX-S / DSP Command and Control Medium Speed Processing High Speed Processing 150 KLE 5 Mbit SRAM 126 GMults / second 75 Gbit / second SERDES bandwidth 9 KLE RT ProASIC3 2 KLE RTSX-SU Frequency of Operation 2014 Microsemi Corporation. 5

6 Next Generation Space FPGAs Designed for high-bandwidth data processing in payload applications Abundant high-performance programmable logic fabric Embedded high speed multiply-accumulate blocks Ample on-board memory with fast access time, two block sizes High performance I/Os SERDES, LVDS, DDR2, Based on 65nm Flash low power process Naturally resistant to configuration upsets Non-volatile configuration live at power-up, no external boot memory needed Low static power RTG4 radiation enhanced for GEO and deep space Total ionizing dose, Single event effects, Latch-up immunity 2015 Microsemi Corporation.. 6

7 RTG4 Family Resources RT4G075 RT4G150 RT4G200 LUT4 + TMR/SET FF 77, , ,896 User IO (non-serdes) RAM24K Blocks uram1.5k Blocks RAM Mbits UPROM Kbits x18 Multiply-Accumulate Blocks SERDES lanes DDR2/3 SDRAM Controller (with ECC) 2x32 2x32 2x32 Globals PLLs (Rad Tolerant) Spacewire Clock & Data Recovery Circuits PCI Express Endpoints Packages CG1432 CG1657 CG Microsemi Corporation. 7

8 Radiation Specifications Total Dose > 100 KRad TID Single Event Effects No configuration failures (to be tested to > 110 MeV-cm 2 /mg) No single event latch-up (to be tested to > 110 MeV-cm 2 /mg) Mitigation for single event upsets Flip-flops with TMR and asynchronous self-correction (LET TH > 37 MeV-cm 2 /mg) Flip-flops in the logic fabric Flip-flops in embedded features Mathblocks etc On-chip SRAM (RAM24K and uram1.5k) Built-in EDAC 1E-10 errors/bit-day, GEO solar min Mitigation of single event transients Logic cells hardened with SET filter SET filter can be individually enabled / disabled for higher performance Target 1E-8 errors/bit-day, GEO solar min 2015 Microsemi Corporation.. 8

9 TID Mitigation in RTG4 Flash FPGAs Traditional Sense-Switch interconnect V T changes as device accumulates TID Results in T PD increase Example RT ProASIC3 has 10% T PD degradation at around 30Krad RTG4 TID - Tolerant interconnect Accumulation of TID causes V T changes in PFG and NFG floating-gate devices However, interconnect pass transistor stays strongly turned on as long as PFG is stronger than NFG Minimal change in T PD with accumulated TID to > 100Krad 2015 Microsemi Corporation.. 9

10 RT4G150 Device Floorplan 2 CCC 2 PLL DDR CALIB CCC -EIPS Serial Sub-system EIP-Clusters CCC -EIPS 2 CCC 2 PLL DDR CALIB SERDES, PCS, PCI-Express Logic Cells Mathblocks DDRIOs Bank L1 MSIODs Bank L2 FDDR Controller IIP (LSRAM, usram, Math) IIP (LSRAM, usram, Math) Clock VS IIP (LSRAM, usram, Math) IIP-Clusters Clock HS IIP (LSRAM, usram, Math) Clock VS IIP (LSRAM, usram, Math) IIP (LSRAM, usram, Math) Factory Segment and User Segment BL ACCESS FDDR Controller DDRIOs Bank R1 HVGEN MSIODs Bank R2 SDRAM DDRx Controller Block RAM (24Kb) μram (1.5Kb) MSIODs Bank L3 2 CCC 2 PLL CCC -EIPS MSIOs Bank B1 Clock VS IIP-Clusters IO-Clusters uproms WL ACCESS MSIOs Bank B2 Clock VS uprom- EIPS MSIOs Bank B3 CCC -EIPS Bank J1 JTAG and SPI pins RTG4 Control MSIODs Bank R3 2 CCC 2 PLL PLL and CCC 2015 Microsemi Corporation. 10

11 RTG4 Logic Module TMR Protected Simplified Diagram Dedicated STMR Flip-flop to enable efficient TMR hardening With enable, global asynchronous set/reset, and local synchronous set/reset Fast carry chain to complement Mathblock performance Arithmetic functions (add/subtract) Target 300 MHz for 32-bit functions (no SET filter) Target 250 MHz for 32-bit function (SET filter deployed) Industry standard LUT4 for efficient synthesis High utilization LUT4 and flip-flop in same module can be used independently Hierarchical routing architecture enables >95% module utilization 2015 Microsemi Corporation. 11

12 RTG4 Mathblock A[17:0] D ADD_SUB EN B[17:0] D + X D OVFL / CO S N [43:0] EN D[43:0] EN C[43:0] D SHIFT17 D >> 17 EN EN SEL_CASC S N-1 [43:0] 18 x 18 multiplier with advanced accumulate New 3-input adder function: (C + D) +/- (A * B) High performance for signal processing throughput 250 MHz with SET mitigation 300 MHz without SET mitigation Optional SEU-protected registers on inputs and outputs (including C input) 2015 Microsemi Corporation. 12

13 RTG4 Memory Blocks Radiation Tolerant Resistant to multi-bit upset Built-in optional EDAC (SECDED) LSRAM up to 24 KBit Dual-port and two-port options High performance synchronous operation Example usage Large FFT memory uram up to 1.5 KBit Three Port Memory Synchronous Write Port Two Asynchronous or Synchronous Read Ports Example usage Folded FIR filters and FFT twiddle factors Mixed port sizes Write and read port sizes can be different 300 MHz performance CLKA ADDRA[ ] WDATAA[17:0] WENA CLKB ADDRB[ ] WDATAB[17:0] WENB WCLK WADDR[ ] WDATA[17:0] WEN RCLKA RADDRA[ ] RENA RCLKB RADDRB[ ] RENB RAM24K uram1.5k RDATAA[17:0] ECC_STATA RDATAB[17:0] ECC_STATB RDATAA[17:0] ECC_STATA RDATAB[17:0] ECC_STATB 2015 Microsemi Corporation. 13

14 General Purpose IO Single ended standards LVCMOS from 1.2V to 3.3V LVTTL PCI Voltage reference standards (600+ Mbps) Includes on-chip termination SSTL2, SSTL18 and SSTL15 For DDR2/DDR3 SDRAM memories HSTL18 and HSTL15 For SRAM memories Differential I/O standards Includes on-chip termination True LVDS (600+ Mbps) Mini-LVDS, M-LVDS, RSDS, LVPECL 2015 Microsemi Corporation. 14

15 SpaceWire Hardened Clock Recovery Selected from pad_ccc_clk<3:0> Data Strobe D MUX S MUX dmux#_out smux#_out Delay Cell rxclk<#> To Global Clock Network Rx Recovery sel_rx_#<3:2> sel_rx_#<1:0> del_sel<4:0> Data and Strobe can connect to LVDS or LVTTL input pins Optional Single Event Transient filtering 2015 Microsemi Corporation. 15

16 3.125Gbps SERDES PMA Based on PCIe Gen 1 PHY RT Performance = Gbps Up to eight x4 units PCI Express Protocol x1, x2, x4 64-bit AXI /AHB PCI Express Interface 4 X16 PIPE 8B/10B Encoding / Decoding PCS Programmable Logic TXDn RXDn 1 PMA 4 x 20-bit EPCS SRIO Or Custom Protocol Serializer / Deserializer Clock Recovery XAUI Bridge XGMII Or Custom Protocol <= 3.125GHz <= 156MHz 2015 Microsemi Corporation. 16

17 Performance Summary General purpose logic 250 MHz system performance with SET mitigation deployed 300 MHz system performance without SET mitigation 300 MHz DSP support performance (adders, delays, etc.) Mathblock 250 MHz pipelined performance with SET mitigation deployed 300 MHz pipelined performance without SET mitigation RAM18K and uram1k > 300 MHz IO > 600 Mbps LVDS and 667 Mbps DDRx SDRAM data SERDES to Gbps Overall 250 MHz general system performance with SET mitigation deployed 300 MHz signal processing performance without SET mitigation 2015 Microsemi Corporation. 17

18 RTG4 Packaging Summary Flip chip assembly Hermetically-sealed cavity Internally-mounted BME decoupling capacitors Thermal adhesive between die and seal lid (passed RGA <5,000ppm, passed outgas to NASA spec) BME decoupling capacitors placed inside Kovar Lid RTG4 Die Under fill (passed RGA <5,000ppm, passed outgas to NASA spec) 2015 Microsemi Corporation. 18

19 RTG4 Product Availability RT devices for space flight applications Initial device: RT4G150 Early access to software and documents via Lead Customer Program: NOW Sample RT4G150 silicon: March 2015 RT4G150 development kit: April 2015 Mil Std 883 class B flight units: 1Q CY2016 QML class Q qualification: 4Q CY2016 QML class V qualification: 2017 RTG4 Lead Customer Program Start product evaluation and provide feedback Early access software: NOW RTG4 user guides: NOW Power calculator: NOW Open to new participants send to RTG4_LCP@microsemi.com 2015 Microsemi Corporation. 19

20 Qualification Plans Mil Std 883 Class B qualification Starts 4 th quarter, CY2015 Completes 1 st quarter, CY2016 Qualification will use RT4G150 in CG1657 packages 3 wafer lots 3 assembly lots 1,000 hour HTOL QML class Q qualification Technology insertion package currently in preparation phase Intent is to achieve QML-Q by end of CY2016 QML class V qualification It is our intention to achieve QML class V Working with DLA, SMC / Aerospace, NASA, JPL to ensure requirements are clear and understood 2015 Microsemi Corporation.. 20

21 RTG4 Conclusions and Next Steps Non-volatile RT FPGA for payload data processing High density, high performance, low power RTG4 FPGA Lead customer program with software access NOW Sample RT4G150 silicon: March 2015 RT4G150 development kit: April 2015 Mil Std 883 class B flight units: 1Q CY2016 QML class Q qualification: 4Q CY2016 Next steps Mil Std 883 class B qualification: 1Q CY2016 QML class Q qualification: 4Q CY2016 QML class V qualification: Microsemi Corporation. 21

22 Microsemi Space Forum Detailed discussions on Microsemi space products discrete, power, RF, ASIC, FPGA, timing Space product roadmap Design tips and tricks Qualification and reliability updates Radiation testing results and mitigation strategies Package development and roadmap Development and verification tools Downloads at Next events June 2015 USA (Los Angeles, Washington DC area) June 2015 Europe (Noordwijk, Netherlands) August 2015 India (Bangalore, Ahmedabad) 2015 Microsemi Corporation. 22

Radiation Tolerant FPGAs and Space System Managers

Radiation Tolerant FPGAs and Space System Managers Radiation Tolerant FPGAs and Space System Managers Ken O Neill Director of Marketing, Space and Aviation Microsemi SOC Group Agenda RTG4 TM Next Generation Radiation Tolerant FPGAs RTSX-SU, RTAX TM and

More information

Radiation-Tolerant FPGAs Update Space Forum 2017

Radiation-Tolerant FPGAs Update Space Forum 2017 Power Matters. TM Radiation-Tolerant FPGAs Update Space Forum 2017 Minh Nguyen Senior Marketing Manager, Space 1 Company Overview Leading-edge semiconductor solutions differentiated by: Performance Reliability

More information

Radiation-Tolerant FPGAs

Radiation-Tolerant FPGAs Space Solutions Radiation-Tolerant FPGAs RTG4 RTAX -S/SL RTAX-DSP RT ProASIC 3 RTSX-SU The leader in programmable digital logic for spaceflight applications. 1 Taking Designs from Earth to Outer Space

More information

Radiation-Tolerant FPGAs

Radiation-Tolerant FPGAs Space Solutions Radiation-Tolerant FPGAs RTG4 RTAX -S/SL RTAX-DSP RT ProASIC 3 RTSX-SU The leader in programmable digital logic devices for spaceflight applications. 2 Taking Designs from Earth to Outer

More information

RTG4 Enabled by Microsemi Power Technology Portfolio

RTG4 Enabled by Microsemi Power Technology Portfolio Power Matters. TM RTG4 Enabled by Microsemi Power Technology Portfolio Microsemi Space Forum 2015 Ken O Neill, Director of Marketing, Space and Aviation Brian Wilkinson, Sr Director Technical & Strategic

More information

Agenda. Programming Qualification for Rad-Tolerant Antifuse FPGAs. RT FPGA Qualification Updates. Long Term Reliability Testing

Agenda. Programming Qualification for Rad-Tolerant Antifuse FPGAs. RT FPGA Qualification Updates. Long Term Reliability Testing Power Matters Microsemi Space FPGAs Qualification and Reliability Update Solomon Wolday Product Engineering Manager Ken O Neill Director of Marketing Microsemi SoC Products Group 2012 Microsemi Corporation.

More information

NEPP Independent Single Event Upset Testing of the Microsemi RTG4: Preliminary Data

NEPP Independent Single Event Upset Testing of the Microsemi RTG4: Preliminary Data NEPP Independent Single Event Upset Testing of the Microsemi RTG4: Preliminary Data Melanie Berg, AS&D in support of NASA/GSFC Melanie.D.Berg@NASA.gov Kenneth LaBel, NASA/GSFC Jonathan Pellish, NASA/GSFC

More information

Radiation-Tolerant FPGA Update

Radiation-Tolerant FPGA Update Power Matters. TM Radiation-Tolerant FPGA Update Ken O Neill Director of Marketing, Space and Aviation 1 Company Overview Leading-edge semiconductor solutions differentiated by: Performance Reliability

More information

SEFUW workshop. Feb 17 th 2016

SEFUW workshop. Feb 17 th 2016 SEFUW workshop Feb 17 th 2016 NanoXplore overview French fabless company with two activities FPGA core IP High reliable FPGA devices Lead by FPGA industry experts with more than 25 years track records

More information

Field Programmable Gate Array (FPGA) Devices

Field Programmable Gate Array (FPGA) Devices Field Programmable Gate Array (FPGA) Devices 1 Contents Altera FPGAs and CPLDs CPLDs FPGAs with embedded processors ACEX FPGAs Cyclone I,II FPGAs APEX FPGAs Stratix FPGAs Stratix II,III FPGAs Xilinx FPGAs

More information

ATMEL ATF280E Rad Hard SRAM Based FPGA. Bernard BANCELIN ATMEL Nantes SAS, Aerospace Business Unit

ATMEL ATF280E Rad Hard SRAM Based FPGA. Bernard BANCELIN ATMEL Nantes SAS, Aerospace Business Unit ATMEL ATF280E Rad Hard SRAM Based FPGA Bernard BANCELIN ATMEL Nantes SAS, Aerospace Business Unit Overview Atmel FPGA Key Points and Architecture ATF280E Radiation Test Results 2 Overview Atmel FPGA Key

More information

HX5000 Standard Cell ASIC Platform

HX5000 Standard Cell ASIC Platform Standard Cell ASIC Platform GENERAL DESCRIPTION The Honeywell HX5000 Platform ASICs are manufactured on the Honeywell s fully QML Qualified 150nm CMOS Silicon on Insulator technology using a cell-based

More information

Virtex-II Architecture. Virtex II technical, Design Solutions. Active Interconnect Technology (continued)

Virtex-II Architecture. Virtex II technical, Design Solutions. Active Interconnect Technology (continued) Virtex-II Architecture SONET / SDH Virtex II technical, Design Solutions PCI-X PCI DCM Distri RAM 18Kb BRAM Multiplier LVDS FIFO Shift Registers BLVDS SDRAM QDR SRAM Backplane Rev 4 March 4th. 2002 J-L

More information

A ONE CHIP HARDENED SOLUTION FOR HIGH SPEED SPACEWIRE SYSTEM IMPLEMENTATIONS

A ONE CHIP HARDENED SOLUTION FOR HIGH SPEED SPACEWIRE SYSTEM IMPLEMENTATIONS A ONE CHIP HARDENED SOLUTION FOR HIGH SPEED SPACEWIRE SYSTEM IMPLEMENTATIONS Joseph R. Marshall, Richard W. Berger, Glenn P. Rakow Conference Contents Standards & Topology ASIC Program History ASIC Features

More information

8. Migrating Stratix II Device Resources to HardCopy II Devices

8. Migrating Stratix II Device Resources to HardCopy II Devices 8. Migrating Stratix II Device Resources to HardCopy II Devices H51024-1.3 Introduction Altera HardCopy II devices and Stratix II devices are both manufactured on a 1.2-V, 90-nm process technology and

More information

16th Microelectronics Workshop Oct 22-24, 2003 (MEWS-16) Tsukuba Space Center JAXA

16th Microelectronics Workshop Oct 22-24, 2003 (MEWS-16) Tsukuba Space Center JAXA 16th Microelectronics Workshop Oct 22-24, 2003 (MEWS-16) Tsukuba Space Center JAXA 1 The proposed presentation explores the use of commercial processes, including deep-sub micron process technology, package

More information

IGLOO2 Evaluation Kit Webinar

IGLOO2 Evaluation Kit Webinar Power Matters. IGLOO2 Evaluation Kit Webinar Jamie Freed jamie.freed@microsemi.com August 29, 2013 Overview M2GL010T- FG484 $99* LPDDR 10/100/1G Ethernet SERDES SMAs USB UART Available Demos Small Form

More information

Xilinx Virtex-5QV Update and Space Roadmap Kangsen Huey Space Marketing Aerospace & Defense 17th, March, 2016

Xilinx Virtex-5QV Update and Space Roadmap Kangsen Huey Space Marketing Aerospace & Defense 17th, March, 2016 Xilinx Virtex-5QV Update and Space Roadmap Kangsen Huey Space Marketing Aerospace & Defense 17th, March, 2016 Status of V5QV Many programs have built hardware and integrated into Spacecrafts awaiting for

More information

Microelectronics Presentation Days March 2010

Microelectronics Presentation Days March 2010 Microelectronics Presentation Days March 2010 FPGA for Space Bernard Bancelin for David Dangla Atmel ASIC BU Aerospace Product Line Everywhere You Are Atmel Radiation Hardened FPGAs Re-programmable (SRAM

More information

Basic FPGA Architecture Xilinx, Inc. All Rights Reserved

Basic FPGA Architecture Xilinx, Inc. All Rights Reserved Basic FPGA Architecture 2005 Xilinx, Inc. All Rights Reserved Objectives After completing this module, you will be able to: Identify the basic architectural resources of the Virtex -II FPGA List the differences

More information

Microsemi Secured Connectivity FPGAs

Microsemi Secured Connectivity FPGAs IoT Solutions Microsemi Secured Connectivity FPGAs SmartFusion2 SoC FPGAs Low Power Small Form Factors Scalable Security Secured Connectivity FPGAs Best in Class for IoT Infrastructure The IoT Infrastructure

More information

Serializer Deserializer POSSIBILITIES OF COMMUNICATION. MADE EASY. For extremely high communications reliability in radiation environments

Serializer Deserializer POSSIBILITIES OF COMMUNICATION. MADE EASY. For extremely high communications reliability in radiation environments Serializer Deserializer POSSIBILITIES OF COMMUNICATION. MADE EASY. For extremely high communications reliability in radiation environments Serializer Deserializer Industry challenges The industry continues

More information

SmartFusion 2 System-on-Chip FPGA

SmartFusion 2 System-on-Chip FPGA SmartFusion 2 System-on-Chip FPGA Breakthrough in Security, Reliability and Low Power Microsemi s next-generation SmartFusion2 SoC FPGAs are the only devices that address fundamental requirements for advanced

More information

SpaceWire IP Cores for High Data Rate and Fault Tolerant Networking

SpaceWire IP Cores for High Data Rate and Fault Tolerant Networking SpaceWire IP Cores for High Data Rate and Fault Tolerant Networking E. Petri 1,2, T. Bacchillone 1,2, N. E. L Insalata 1,2, T. Cecchini 1, I. Del Corona 1,S. Saponara 1, L. Fanucci 1 (1) Dept. of Information

More information

SCS750. Super Computer for Space. Overview of Specifications

SCS750. Super Computer for Space. Overview of Specifications SUPER COMPUTER FOR SPACE TM Super Computer for Space F FLIGHT MODULE Overview of Specifications One board upset every 100 years in a GEO or LEO Orbit Up to 1000X Better Performance Than Current Space Processor

More information

Advanced Computing, Memory and Networking Solutions for Space

Advanced Computing, Memory and Networking Solutions for Space Advanced Computing, Memory and Networking Solutions for Space 25 th Microelectronics Workshop November 2012 µp, Networking Solutions and Memories Microprocessor building on current LEON 3FT offerings UT699E:

More information

EE178 Lecture Module 2. Eric Crabill SJSU / Xilinx Fall 2007

EE178 Lecture Module 2. Eric Crabill SJSU / Xilinx Fall 2007 EE178 Lecture Module 2 Eric Crabill SJSU / Xilinx Fall 2007 Lecture #4 Agenda Survey of implementation technologies. Implementation Technologies Small scale and medium scale integration. Up to about 200

More information

About using FPGAs in radiation environments

About using FPGAs in radiation environments About using FPGAs in radiation environments Tullio Grassi (FNAL / Univ. of MD) Motivations We may need some "glue logic" between the Front-End ASICs and the GBT (see talk from Chris Tully HB/HE Strawman

More information

Microsemi Update for SPWG 2017

Microsemi Update for SPWG 2017 Power Matters. TM Microsemi Update for SPWG 2017 Chris Hart, Director of Marketing, Aerospace Products, Discrete Products Group Ken O Neill, Director of Marketing, Space and Aviation, Integrated Circuits

More information

SmartFusion 2 Next-generation System-on-Chip FPGA Lowest Power Advanced Security Highest Reliability 150K LEs ARM Cortex -M3 DSP Transceivers DDR3

SmartFusion 2 Next-generation System-on-Chip FPGA Lowest Power Advanced Security Highest Reliability 150K LEs ARM Cortex -M3 DSP Transceivers DDR3 SmartFusion 2 Next-generation System-on-Chip FPGA Lowest Power Advanced Security Highest Reliability 150K LEs ARM Cortex -M3 DSP Transceivers DDR3 SmartFusion 2 System-on-Chip FPGA Breakthrough in Security,

More information

Altera Product Overview. Altera Product Overview

Altera Product Overview. Altera Product Overview Altera Product Overview Tim Colleran Vice President, Product Marketing Altera Product Overview High Density + High Bandwidth I/O Programmable ASSP with CDR High-Speed Product Term Embedded Processor High

More information

1. Overview for the Arria II Device Family

1. Overview for the Arria II Device Family 1. Overview for the Arria II Device Family July 2012 AIIGX51001-4.4 AIIGX51001-4.4 The Arria II device family is designed specifically for ease-of-use. The cost-optimized, 40-nm device family architecture

More information

SINGLE BOARD COMPUTER FOR SPACE

SINGLE BOARD COMPUTER FOR SPACE SINGLE BOARD COMPUTER FOR SPACE Proven in Space Best Single Event Performance Seamless Error Correction Wide Range of Processing Power Highest Design Margin SCS750 FLIGHT MODULE Overview of Specifications

More information

Field Programmable Gate Array (FPGA)

Field Programmable Gate Array (FPGA) Field Programmable Gate Array (FPGA) Lecturer: Krébesz, Tamas 1 FPGA in general Reprogrammable Si chip Invented in 1985 by Ross Freeman (Xilinx inc.) Combines the advantages of ASIC and uc-based systems

More information

Radiation-Tolerant ProASIC3 Low-Power Space- Flight Flash FPGAs

Radiation-Tolerant ProASIC3 Low-Power Space- Flight Flash FPGAs Advance v0.2 Radiation-Tolerant ProASIC3 Low-Power Space- Flight Flash FPGAs with Flash*Freeze Technology Features and Benefits MIL-STD-883 Class B Qualified Packaging Ceramic Column Grid Array with Six

More information

LEON3-Fault Tolerant Design Against Radiation Effects ASIC

LEON3-Fault Tolerant Design Against Radiation Effects ASIC LEON3-Fault Tolerant Design Against Radiation Effects ASIC Microelectronic Presentation Days 3 rd Edition 7 March 2007 Table of Contents Page 2 Project Overview Context Industrial Organization LEON3-FT

More information

The Fully Configurable Cortex-M3

The Fully Configurable Cortex-M3 Power Matters. The Fully Configurable Cortex-M3 Peter Trott Snr FAE Microsemi Peter.trott@microsemi.com Features Microsemi SoC Product Roadmap Increasing system features on differentiated flash technology

More information

FPGA VHDL Design Flow AES128 Implementation

FPGA VHDL Design Flow AES128 Implementation Sakinder Ali FPGA VHDL Design Flow AES128 Implementation Field Programmable Gate Array Basic idea: two-dimensional array of logic blocks and flip-flops with a means for the user to configure: 1. The interconnection

More information

Programmable Logic. Any other approaches?

Programmable Logic. Any other approaches? Programmable Logic So far, have only talked about PALs (see 22V10 figure next page). What is the next step in the evolution of PLDs? More gates! How do we get more gates? We could put several PALs on one

More information

FPGAs APPLICATIONS. 2012, Sept Copyright Atmel Corporation

FPGAs APPLICATIONS. 2012, Sept Copyright Atmel Corporation FPGAs For SPACE APPLICATIONS 2012, Sept. 1 2012 Copyright Atmel Corporation 03/14/2012 Roadmap Legend Product Eng Sample Concept Eng Sample FM FM AT40K family ATF5000 ATF2500 ATFSee900 Next generation

More information

APEX II The Complete I/O Solution

APEX II The Complete I/O Solution APEX II The Complete I/O Solution July 2002 Altera introduces the APEX II device family: highperformance, high-bandwidth programmable logic devices (PLDs) targeted towards emerging network communications

More information

Mixed Signal ICs for Space

Mixed Signal ICs for Space Power Matters. TM Mixed Signal ICs for Space Microsemi Space Forum 2015 Dorian Johnson Product Marketing Manager High Reliability ICs 1 New Products Legacy Custom Mixed Signal ICs for Space SSM Telemetry

More information

1. Cyclone IV FPGA Device Family Overview

1. Cyclone IV FPGA Device Family Overview May 2013 CYIV-51001-1.8 1. Cyclone IV FPGA Device Family Overview CYIV-51001-1.8 Altera s new Cyclone IV FPGA device amily extends the Cyclone FPGA series leadership in providing the market s lowest-cost,

More information

RTG4 PLL SEE Test Results July 10, 2017 Revised March 29, 2018 Revised July 31, 2018

RTG4 PLL SEE Test Results July 10, 2017 Revised March 29, 2018 Revised July 31, 2018 RTG4 PLL SEE Test Results July 10, 2017 Revised March 29, 2018 Revised July 31, 2018 Radiation Group 1 I. Introduction This document disseminates recently acquired single-event-effects (SEE) data on the

More information

High temperature / radiation hardened capable ARM Cortex -M0 microcontrollers

High temperature / radiation hardened capable ARM Cortex -M0 microcontrollers High temperature / radiation hardened capable ARM Cortex -M0 microcontrollers R. Bannatyne, D. Gifford, K. Klein, C. Merritt VORAGO Technologies 2028 E. Ben White Blvd., Suite #220, Austin, Texas, 78741,

More information

S950 3U cpci Radiation Tolerant PowerPC SBC

S950 3U cpci Radiation Tolerant PowerPC SBC S950 3U cpci Radiation Tolerant PowerPC SBC Designed for LEO, Mars Terrestrial with an Option for GEO Environments Single-Slot Conduction-Cooled 3U CompactPCI (cpci) Single Board Computer (SBC) High Performance

More information

Development an update. Aeroflex Gaisler

Development an update. Aeroflex Gaisler European SpaceWire Router Development an update Sandi Habinc Aeroflex Gaisler Demand for SpaceWire Router Both European and international customers have shown interest in SpaceWire router with greater

More information

Zynq-7000 All Programmable SoC Product Overview

Zynq-7000 All Programmable SoC Product Overview Zynq-7000 All Programmable SoC Product Overview The SW, HW and IO Programmable Platform August 2012 Copyright 2012 2009 Xilinx Introducing the Zynq -7000 All Programmable SoC Breakthrough Processing Platform

More information

Axcelerator Family FPGAs

Axcelerator Family FPGAs Product Brief Axcelerator Family FPGAs u e Leading-Edge Performance 350+ MHz System Performance 500+ MHz Internal Performance High-Performance Embedded s 700 Mb/s LVDS Capable I/Os Specifications Up to

More information

Military Grade SmartFusion Customizable System-on-Chip (csoc)

Military Grade SmartFusion Customizable System-on-Chip (csoc) Military Grade SmartFusion Customizable System-on-Chip (csoc) Product Benefits 100% Military Temperature Tested and Qualified from 55 C to 125 C Not Susceptible to Neutron-Induced Configuration Loss Microcontroller

More information

ATF280E A Rad-Hard reprogrammable FPGA

ATF280E A Rad-Hard reprogrammable FPGA ATF280E A Rad-Hard reprogrammable FPGA ESA/ESTEC 3 rd Microelectronics Presentation Days 2007 Valérie Ho-Shui-Ling Thibaud Gaillard Overview ATMEL rad-hard FPGA family The ATF280E FPGA ATMEL FPGA architecture

More information

DATA SHEET AGM AG16K FPGA. Low Cost and High Performance FPGA. Revision: 1.0. Page 1 of 17

DATA SHEET AGM AG16K FPGA. Low Cost and High Performance FPGA. Revision: 1.0. Page 1 of 17 DATA SHEET Revision: 1.0 AGM AG16K FPGA Low Cost and High Performance FPGA Page 1 of 17 General Description AGM AG16K FPGA devices are targeted to high-volume, cost-sensitive, applications, enabling system

More information

ADVANCED ELECTRONIC SOLUTIONS AVIATION SERVICES COMMUNICATIONS AND CONNECTIVITY MISSION SYSTEMS

ADVANCED ELECTRONIC SOLUTIONS AVIATION SERVICES COMMUNICATIONS AND CONNECTIVITY MISSION SYSTEMS The most important thing we build is trust ADVANCED ELECTRONIC SOLUTIONS AVIATION SERVICES COMMUNICATIONS AND CONNECTIVITY MISSION SYSTEMS UT840 LEON Quad Core First Silicon Results Cobham Semiconductor

More information

Designing Radiation-Tolerant Power-Supplies for the RTAX-S/SL/DSP FPGA

Designing Radiation-Tolerant Power-Supplies for the RTAX-S/SL/DSP FPGA Application Note AC387 Designing Radiation-Tolerant Power-Supplies for the RTAX-S/SL/DSP FPGA Table of Contents Introduction................................................ 1 RTAX-S Basic Information on

More information

The special radiation-hardened processors for new highly informative experiments in space

The special radiation-hardened processors for new highly informative experiments in space Journal of Physics: Conference Series PAPER OPEN ACCESS The special radiation-hardened processors for new highly informative experiments in space To cite this article: O V Serdin et al 2017 J. Phys.: Conf.

More information

Libero SoC v11.9 SP2 Release Notes 11/2018

Libero SoC v11.9 SP2 Release Notes 11/2018 Libero SoC v11.9 SP2 Release Notes 11/2018 Microsemi Corporate Headquarters One Enterprise, Aliso Viejo, CA 92656 USA Within the USA: +1 (800) 713-4113 Outside the USA: +1 (949) 380-6100 Fax: +1 (949)

More information

Section I. Cyclone II Device Family Data Sheet

Section I. Cyclone II Device Family Data Sheet Section I. Cyclone II Device Family Data Sheet This section provides information for board layout designers to successfully layout their boards for Cyclone II devices. It contains the required PCB layout

More information

Initial Single-Event Effects Testing and Mitigation in the Xilinx Virtex II-Pro FPGA

Initial Single-Event Effects Testing and Mitigation in the Xilinx Virtex II-Pro FPGA Initial Single-Event Effects Testing and Mitigation in the Xilinx Virtex II-Pro FPGA J. George 1, S. Rezgui 2, G. Swift 3, C. Carmichael 2 For the North American Xilinx Test Consortium 1 The Aerospace

More information

1. Overview for the Arria V Device Family

1. Overview for the Arria V Device Family 1. Overview for the Arria V Device Family December 2011 AV51001-1.2 AV51001-1.2 Built on the 28-nm low-power process technology, Arria V devices offer the lowest power and lowest system cost for mainstream

More information

ESA-CNES Deep Sub-Micron program ST 65nm. Laurent Dugoujon Remy Chevallier STMicroelectronics Grenoble, France.

ESA-CNES Deep Sub-Micron program ST 65nm. Laurent Dugoujon Remy Chevallier STMicroelectronics Grenoble, France. ESA-CNES Deep Sub-Micron program ST 65nm Laurent Dugoujon Remy Chevallier STMicroelectronics Grenoble, France. Agenda 2 Presentation DSM 65nm challenges DSM 65nm Supply-chain actors ESA-CNES 65nm Program

More information

HX5000 ASIC Platform S150 (150 nm) ASICs

HX5000 ASIC Platform S150 (150 nm) ASICs ASIC Platform S150 (150 nm) ASICs GENERAL DESCRIPTION The Honeywell HX5000 Platform ASICs are manufactured on the Honeywell 150nm CMOS Silicon on Insulator technology using a cell-based library and advanced

More information

ispgdx2 vs. ispgdx Architecture Comparison

ispgdx2 vs. ispgdx Architecture Comparison isp2 vs. isp July 2002 Technical Note TN1035 Introduction The isp2 is the second generation of Lattice s successful isp platform. Architecture enhancements improve flexibility and integration when implementing

More information

Stratix. Introduction. Features... Programmable Logic Device Family. Preliminary Information

Stratix. Introduction. Features... Programmable Logic Device Family. Preliminary Information Stratix Programmable Logic Device Family February 2002, ver. 1.0 Data Sheet Introduction Preliminary Information The Stratix family of programmable logic devices (PLDs) is based on a 1.5-V, 0.13-µm, all-layer

More information

Section I. Cyclone II Device Family Data Sheet

Section I. Cyclone II Device Family Data Sheet Section I. Cyclone II Device Family Data Sheet This section provides provides information for board layout designers to successfully layout their boards for Cyclone II devices. It contains the required

More information

Section I. Device Core for Arria II Devices

Section I. Device Core for Arria II Devices Section I. Device Core for Arria II Devices This section provides a complete overview of all features relating to the Arria II device family, the industry s first cost-optimized 40 nm FPGA family. This

More information

GOES-R SpaceWire Implementation

GOES-R SpaceWire Implementation GOES-R SpaceWire Implementation William Anderson GSFC/MEI Technologies Inc. William.H.Anderson@nasa.gov International SpaceWire Conference 2007 Dundee, Scotland, UK September 17, 2007 1 GOES-R SpaceWire

More information

8. Selectable I/O Standards in Arria GX Devices

8. Selectable I/O Standards in Arria GX Devices 8. Selectable I/O Standards in Arria GX Devices AGX52008-1.2 Introduction This chapter provides guidelines for using industry I/O standards in Arria GX devices, including: I/O features I/O standards External

More information

Ultra-low power, Single-chip SRAM FPGA Targets Handheld Consumer Applications

Ultra-low power, Single-chip SRAM FPGA Targets Handheld Consumer Applications Hot Chips August 2009 Ultra-low power, Single-chip SRAM FPGA Targets Handheld Consumer Applications PMP / Games Netbooks Pico Projectors Smart Phones Power? DSC Cost? New Features? Size? epaper ebook Time

More information

Programmable Logic Devices FPGA Architectures II CMPE 415. Overview This set of notes introduces many of the features available in the FPGAs of today.

Programmable Logic Devices FPGA Architectures II CMPE 415. Overview This set of notes introduces many of the features available in the FPGAs of today. Overview This set of notes introduces many of the features available in the FPGAs of today. The majority use SRAM based configuration cells, which allows fast reconfiguation. Allows new design ideas to

More information

Microsemi Corporation: CN18002

Microsemi Corporation: CN18002 : CN18002 November 6, 2017 Customer Notification No: CN18002 Customer Advisory Notice (CAN) Change Classification: Minor Subject RTG4 FPGA Family Changes Summary This document describes five Customer Advisory

More information

Stratix. Introduction. Features... 10,570 to 114,140 LEs; see Table 1. FPGA Family. Preliminary Information

Stratix. Introduction. Features... 10,570 to 114,140 LEs; see Table 1. FPGA Family. Preliminary Information Stratix FPGA Family December 2002, ver. 3.0 Data Sheet Introduction Preliminary Information The Stratix TM family of FPGAs is based on a 1.5-V, 0.13-µm, all-layer copper SRAM process, with densities up

More information

Current status of SOI / MPU and ASIC development for space

Current status of SOI / MPU and ASIC development for space The 23rd Microelectronics Workshop Current status of SOI / MPU and ASIC development for space Nov. 11 th 2010 Electronic Devices and Materials Group Aerospace Research and Development Directorate, JAXA

More information

MAX 10 FPGA Device Overview

MAX 10 FPGA Device Overview 2014.09.22 M10-OVERVIEW Subscribe MAX 10 devices are the industry s first single chip, non-volatile programmable logic devices (PLDs) to integrate the optimal set of system components. The following lists

More information

The High-Reliability Programmable Logic Leader. Products for Space Applications. QML Certification Part of Overall Quality Platform

The High-Reliability Programmable Logic Leader. Products for Space Applications. QML Certification Part of Overall Quality Platform QPO High-eliability QML Certified and adiation Hardened Products for Aerospace and Defense Applications January 21, 2 (v2.) 7* The High-eliability Programmable Leader Xilinx is the leading supplier of

More information

S2C K7 Prodigy Logic Module Series

S2C K7 Prodigy Logic Module Series S2C K7 Prodigy Logic Module Series Low-Cost Fifth Generation Rapid FPGA-based Prototyping Hardware The S2C K7 Prodigy Logic Module is equipped with one Xilinx Kintex-7 XC7K410T or XC7K325T FPGA device

More information

Space: The Final Frontier FPGAs for Space and Harsh Environments

Space: The Final Frontier FPGAs for Space and Harsh Environments Space: The Final Frontier FPGAs for Space and Harsh Environments Introduction FPGAs offer several benefits to the system designer Flexibility of Design performance, upgrades Reduction in NRE and Cost.

More information

Aeroflex Colorado Springs RadHard Eclipse FPGA Frequently Asked Questions

Aeroflex Colorado Springs RadHard Eclipse FPGA Frequently Asked Questions Aeroflex Colorado Springs RadHard Eclipse FPGA Frequently Asked Questions (NOTE - FAQs WILL BE UPDATED ON A REGULAR BASIS) Introduction: QuickLogic has licensed their metal-to-metal Vialink TM technology

More information

DSM ASIC Technology & HSSL (KIPSAT)

DSM ASIC Technology & HSSL (KIPSAT) DSM ASIC Technology & HSSL (KIPSAT) Presented by L.Dugoujon ST/APM/MSH Microelectronics Presentation Days ESA/ESTEC 30March-01April 2010. 2 OUTLINE Need for DSM 65nm Key IPs KIPSAT project phases/status

More information

6. I/O Features for HardCopy IV Devices

6. I/O Features for HardCopy IV Devices 6. I/O Features for HardCopy IV Devices March 2012 HIV51006-2.3 HIV51006-2.3 This chapter describes the I/O standards, features, termination schemes, and performance supported in HardCopy IV devices. All

More information

3. Mapping Stratix III Device Resources to HardCopy III Devices

3. Mapping Stratix III Device Resources to HardCopy III Devices 3. Mapping Resources to s HIII52003-2.0 Introduction This chapter discusses the available options for mapping from a Stratix III device to a HardCopy III device. ASICs have companion device support in

More information

GR712RC A MULTI-PROCESSOR DEVICE WITH SPACEWIRE INTERFACES

GR712RC A MULTI-PROCESSOR DEVICE WITH SPACEWIRE INTERFACES GR712RC A MULTI-PROCESSOR DEVICE WITH SPACEWIRE INTERFACES Session: SpaceWire Components Short Paper Sandi Habinc, Jiri Gaisler Aeroflex Gaisler, Kungsgatan 12, SE-411 19 Göteborg, Sweden sandi@gaisler.com

More information

Qsys and IP Core Integration

Qsys and IP Core Integration Qsys and IP Core Integration Stephen A. Edwards (after David Lariviere) Columbia University Spring 2016 IP Cores Altera s IP Core Integration Tools Connecting IP Cores IP Cores Cyclone V SoC: A Mix of

More information

PowerPC- based Processor Modules for Space Applications

PowerPC- based Processor Modules for Space Applications PowerPC- based Processor Modules for Space Applications Patria Systems OY Jaakko Toivonen 12.09.2006 PowerPC- based Single Board Computers Two Single Board Computer (SBC) modules targeted for space applications:

More information

Section I. Cyclone II Device Family Data Sheet

Section I. Cyclone II Device Family Data Sheet Section I. Cyclone II Device Family Data Sheet This section provides information for board layout designers to successfully layout their boards for Cyclone II devices. It contains the required PCB layout

More information

ESE532: System-on-a-Chip Architecture. Today. Message. Graph Cycles. Preclass 1. Reminder

ESE532: System-on-a-Chip Architecture. Today. Message. Graph Cycles. Preclass 1. Reminder ESE532: System-on-a-Chip Architecture Day 8: September 26, 2018 Spatial Computations Today Graph Cycles (from Day 7) Accelerator Pipelines FPGAs Zynq Computational Capacity 1 2 Message Custom accelerators

More information

DATA SHEET. Low Cost and High Performance FPGA. Revision: 1.1. Release date: Page 1 of 18

DATA SHEET. Low Cost and High Performance FPGA. Revision: 1.1. Release date: Page 1 of 18 DATA SHEET Revision: 1.1 Release date: AGM FPGA Low Cost and High Performance FPGA Page 1 of 18 General Description AGM FPGA devices are targeted to high-volume, cost-sensitive, applications, enabling

More information

Intel MAX 10 FPGA Device Overview

Intel MAX 10 FPGA Device Overview Intel MAX 10 FPGA Device Overview Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents...3 Key Advantages of Intel MAX 10 Devices... 3 Summary of Intel MAX 10 Device Features...

More information

ATMEL SPACEWIRE PRODUCTS FAMILY

ATMEL SPACEWIRE PRODUCTS FAMILY ATMEL SPACEWIRE PRODUCTS FAMILY Session: Components Short Paper Nicolas RENAUD, Yohann BRICARD ATMEL Nantes La Chantrerie 44306 NANTES Cedex 3 E-mail: nicolas.renaud@atmel.com, yohann.bricard@atmel.com

More information

Interfacing FPGAs with High Speed Memory Devices

Interfacing FPGAs with High Speed Memory Devices Interfacing FPGAs with High Speed Memory Devices 2002 Agenda Memory Requirements Memory System Bandwidth Do I Need External Memory? Altera External Memory Interface Support Memory Interface Challenges

More information

Summary. Introduction. Application Note: Virtex, Virtex-E, Spartan-IIE, Spartan-3, Virtex-II, Virtex-II Pro. XAPP152 (v2.1) September 17, 2003

Summary. Introduction. Application Note: Virtex, Virtex-E, Spartan-IIE, Spartan-3, Virtex-II, Virtex-II Pro. XAPP152 (v2.1) September 17, 2003 Application Note: Virtex, Virtex-E, Spartan-IIE, Spartan-3, Virtex-II, Virtex-II Pro Xilinx Tools: The Estimator XAPP152 (v2.1) September 17, 2003 Summary This application note is offered as complementary

More information

Intel Cyclone 10 GX Device Overview

Intel Cyclone 10 GX Device Overview Intel Cyclone 10 GX Device Overview Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents... 3 Key Advantages of Intel Cyclone 10 GX Devices... 3 Summary of Intel Cyclone 10 GX

More information

RAD6000 Space Computers

RAD6000 Space Computers RAD6000 Space Computers RAD6000 space computers RAD6000 single-board computers combine commercial standards with unique radiation-hardened technology and packaging to meet the specific requirements of

More information

Optimal Management of System Clock Networks

Optimal Management of System Clock Networks Optimal Management of System Networks 2002 Introduction System Management Is More Challenging No Longer One Synchronous per System or Card Must Design Source-Synchronous or CDR Interfaces with Multiple

More information

6. I/O Features in Stratix IV Devices

6. I/O Features in Stratix IV Devices 6. I/O Features in Stratix IV Devices September 2012 SIV51006-3.4 SIV51006-3.4 This chapter describes how Stratix IV devices provide I/O capabilities that allow you to work in compliance with current and

More information

INTRODUCTION TO FIELD PROGRAMMABLE GATE ARRAYS (FPGAS)

INTRODUCTION TO FIELD PROGRAMMABLE GATE ARRAYS (FPGAS) INTRODUCTION TO FIELD PROGRAMMABLE GATE ARRAYS (FPGAS) Bill Jason P. Tomas Dept. of Electrical and Computer Engineering University of Nevada Las Vegas FIELD PROGRAMMABLE ARRAYS Dominant digital design

More information

CSP: HIGH PERFORMANCE RELIABLE COMPUTING FOR SMALLSATS

CSP: HIGH PERFORMANCE RELIABLE COMPUTING FOR SMALLSATS CSP: HIGH PERFORMANCE RELIABLE COMPUTING FOR SMALLSATS Katherine Conway, Bert Vermeire, Jordan Healea, David Strobel Space Micro Inc. CubeSat Developers Workshop 2017 Cal Poly San Luis Obispo April 26-28,

More information

Stratix. High-Density, High-Performance FPGAs. Available in Production Quantities

Stratix. High-Density, High-Performance FPGAs. Available in Production Quantities Stratix High-Density, High-Performance FPGAs Available in Production Quantities February 2004 High-Density, High-Performance FPGAs Altera s award-winning Stratix FPGA family delivers the most comprehensive

More information

Section I. Cyclone FPGA Family Data Sheet

Section I. Cyclone FPGA Family Data Sheet Section I. Cyclone FPGA Family Data Sheet This section provides designers with the data sheet specifications for Cyclone devices. The chapters contain feature definitions of the internal architecture,

More information

1. Stratix IV Device Family Overview

1. Stratix IV Device Family Overview 1. Stratix IV Device Family Overview SIV51001-3.0 Altera Stratix IV FPGAs deliver a breakthrough level of system bandwidth and power efficiency for high-end applications, allowing you to innovate without

More information

MAX 10 FPGA Device Overview

MAX 10 FPGA Device Overview 2016.05.02 M10-OVERVIEW Subscribe MAX 10 devices are single-chip, non-volatile low-cost programmable logic devices (PLDs) to integrate the optimal set of system components. The highlights of the MAX 10

More information