Mixed-signal neuromorphic VLSI devices for spiking neural network

Size: px
Start display at page:

Download "Mixed-signal neuromorphic VLSI devices for spiking neural network"

Transcription

1 Mixed-signal neuromorphic VLSI devices for spiking neural network Ning Qiao Institute of Neuroinformatics University of Zurich and ETH Zurich Jun 20, 2018 ADAC6 Workshop Ning Qiao Neuromorphic CogniHve Systems INI UZH ETH Zürich 1

2 Outlines! Brain inspired computing! Neuromorphic engineering! Analog synapse and neuron circuits! Multi-core Neuromorphic architectures! Applications Ning Qiao Neuromorphic Cognitive Systems INI UZH ETH Zürich 2

3 The cost of current computing technologies is not sustainable l l l l In 2017 > 10 zettabytes of data were produced. IT infrastructures and consumer electronics absorbed > 10% of the global electricity supply. By 2025, over 50 billion of Internet-of- Things (IoT) devices will be interconnected. Over 180 zettabytes of data will be generated annually, potentially leading to a consumption of one-fifth of global electricity. Ning Qiao Neuromorphic Cognitive Systems INI UZH ETH Zürich 3

4 Current trends in computing HWs Ning Qiao Neuromorphic Cogni2ve Systems INI UZH ETH Zürich 4

5 Brain-Inspired compubng l Slow, noisy and variable processing elements l Massively parallel distributed computation, local connectivity l Real-time interaction with the environment 1mg weight 1mm 3 volume neurons 10e-15 J/spike <100 uw l Complex spatio-temporal pattern recognition l Foraging, navigation, language, and social behavior Ning Qiao Neuromorphic Cognitive Systems INI UZH ETH Zürich 5

6 Neuromorphic Compu?ng vs. Neuromorphic Engineering Ning Qiao Neuromorphic Cognitive Systems INI UZH ETH Zürich 6

7 Current trends in neuromorphic processors Not so radically different acer-all (not solving the von Neumann bogleneck problem) Ning Qiao Neuromorphic Cogni2ve Systems INI UZH ETH Zürich 7

8 Current trends in neuromorphic processors Not so radically different acer-all (not solving the von Neumann bogleneck problem) Ning Qiao Neuromorphic Cogni2ve Systems INI UZH ETH Zürich 8

9 Listen to the silicon (original approach) Mixed-signal analog/digital neuromorphic systems! Analog/digital computation, digital asynchronous communication.! Directly emulate the physics of neural systems.! Massively parallel collections of non-linear circuits.! Realistic neural and synaptic dynamics! Distributed memory! Co-localized memory and computation Ning Qiao Neuromorphic Cogni2ve Systems INI UZH ETH Zürich 9

10 Channel current-voltage rela3onships Ning Qiao Neuromorphic Cogni3ve Systems INI UZH ETH Zürich 10

11 Analog circuits Direct emula2on of synap2c dynamics [Bartolozzi and Indiveri, 2007] Ning Qiao Neuromorphic Cogni2ve Systems INI UZH ETH Zürich 11

12 Analog circuits Direct emulacon of neuron dynamics Ning Qiao Neuromorphic Cognitive Systems INI UZH ETH Zürich 12

13 Spike-based plas3city VLSI implementa3on Ning Qiao Neuromorphic Cogni3ve Systems INI UZH ETH Zürich 13

14 Cor3cal networks: a high degree of clustering Pyramidal Cell of Layer 3 of Cat Visual Cortex. Dendrites (Green), Axon (Red), Clusters of Boutons (Black) in Layer 3 and 5. Scale bar, 500 µm [R.J. Douglas and K.A.C. Martin, Neuron, 2007] [Moradi and Indiveri 2014] Ning Qiao Neuromorphic Cogni3ve Systems INI UZH ETH Zürich 14

15 Memory opamized mula-core neural architecture Hierarchical rouang with heterogeneous memory structures! Two-stage + 2D tree + 2D mesh multi-cast routing schemes using both source-address and destination-address encoding.! Fully asynchronous hierarchical routers for intra-core (R1), inter-core (R2) and inter-chip (R3) connectivity.! Embedded asynchronous CAM and SRAM cells distributed across and within cores. Ning Qiao Neuromorphic Cognitive Systems INI UZH ETH Zürich 15

16 Co-localized memory and computation FD-SOI design, ready for beyond CMOS technology Multiple parallel I/O pathways Multiple distributed asynchronous SRAM LUTs Distributed multi-bit TCAM cells Capacitors for state dynamics and learning Ideal for integration with (binary) resistive memories Ideal for integration with (learning) memristive devices Ideal for integration in 3D VLSI technology Ning Qiao Neuromorphic Cogni3ve Systems INI UZH ETH Zürich 16

17 Latest NP chip specs A large-scale, multi-core, neuromorphic processor DynapSEL in 28 nm FDSOI, is reported in ISSCC 2018 Ning Qiao Neuromorphic Cogni3ve Systems INI UZH ETH Zürich 17

18 Neural dynamics with appropriate 3me constants Paradigm shift Radically different from von Neumann architectures. Co-localized memory and computation. No virtual time (time represents itself). Data/event driven computation. Slow (biologically plausible) time constants For interacting with the environment in real-time. Inherently synchronized with the real-world natural events. To process natural sensory signals efficiently (low bandwidth/power). Ning Qiao Neuromorphic Cogni3ve Systems INI UZH ETH Zürich 18

19 Event-based convolutional network Ning Qiao Neuromorphic Cogni3ve Systems INI UZH ETH Zürich 19

20 Real-Cme autonomous behaving agents Ning Qiao Neuromorphic Cognitive Systems INI UZH ETH Zürich 20

21 Connecting neuromorphic processors to neuromorphic sensors and robots Ning Qiao Neuromorphic Cognitive Systems INI UZH ETH Zürich 21

22 Hardware preliminary (state-of-the-art) results INPUT SIGNAL SHAPE INPUT SIGNAL SOURCE NEURONS RESERVOIR RASTER PLOT RESERVOIR NEURONS OUT NEURONS PREDICTED ACTIVITY N 0 N 1 OUTPUT LAYER Ning Qiao Neuromorphic Cogni2ve Systems INI UZH ETH Zürich 22

23 Distributed ArDficial Intelligence Autonomous sensory-motor systems embedded systems & emerging memory technologies Brain Machine Interfaces & prosthetics Ning Qiao Neuromorphic Cognitive Systems INI UZH ETH Zürich 23

24 Team Work: InsCtute of NeuroinformaCcs Ning Qiao (INI) Yulia Sandamirskaya (INI) Lorenz Mu ller (INI) Melika Payvand (INI) Elisa Donati (INI) Dongchen Liang (INI) Raphaela Kreise (INI) Moritz Milde (INI) Marc Osswald (insightness) Dora Sumislawska (GeorgiaTech, USA) Fabio Stefanini (Columbia Univ., USA) Jonathan Binas (Univ. Montreal, CA) Emre Neftci (UC Irvine, USA) Saber Moradi (Yale, USA) Hesham Mostafa (UCSD, USA) Chiara Bartolozzi (IIT, Italy) Elisabetta Chicca (Univ. Bielefeld, DE) Stefano Fusi (Columbia Univ., USA) Ning Qiao Neuromorphic Cognitive Systems INI UZH ETH Zürich 24

25 Technology-transfer effort to commercialize Dr. Ning Qiao Prof. Giacomo Indiveri Dr. Kynan Eng Dr. Dylan Muir Dr. Sadique Sheik Dr. Qian Liu Felix Bauer Carsten Nielsen Ole Richter Anita Tuomi Ning Qiao Neuromorphic Cognitive Systems INI UZH ETH Zürich 25

26 The end Thank you for your attention Ning Qiao Neuromorphic Cognitive Systems INI UZH ETH Zürich 26

27 Spiking Neuron Network (SNN) Ning Qiao Neuromorphic Cogni3ve Systems INI UZH ETH Zürich 27

28 Spiking Neuron Network (SNN) Communication Computation Learning Ning Qiao Neuromorphic Cognitive Systems INI UZH ETH Zürich 28

29 Co-localized memory and computation FD-SOI design, ready for beyond CMOS technology Intel i7-4960x DYNAP-SEL No I/O bottleneck No memory bottleneck Ning Qiao Neuromorphic Cognitive Systems INI UZH ETH Zürich 29

30 A closed-loop bi-direceonal BMI Ning Qiao Neuromorphic Cognitive Systems INI UZH ETH Zürich 30

Emerging computing paradigms: The case of neuromorphic platforms

Emerging computing paradigms: The case of neuromorphic platforms Emerging computing paradigms: The case of neuromorphic platforms Andrea Acquaviva DAUIN Computer and control Eng. Dept. 1 Neuromorphic platforms Neuromorphic platforms objective is to enable simulation

More information

A Hardware/Software Framework for Real-time Spiking Systems

A Hardware/Software Framework for Real-time Spiking Systems A Hardware/Software Framework for Real-time Spiking Systems Matthias Oster, Adrian M. Whatley, Shih-Chii Liu, and Rodney J. Douglas Institute of Neuroinformatics, Uni/ETH Zurich Winterthurerstr. 190, 8057

More information

SpiNNaker a Neuromorphic Supercomputer. Steve Temple University of Manchester, UK SOS21-21 Mar 2017

SpiNNaker a Neuromorphic Supercomputer. Steve Temple University of Manchester, UK SOS21-21 Mar 2017 SpiNNaker a Neuromorphic Supercomputer Steve Temple University of Manchester, UK SOS21-21 Mar 2017 Outline of talk Introduction Modelling neurons Architecture and technology Principles of operation Summary

More information

Biologically-Inspired Massively-Parallel Architectures - computing beyond a million processors

Biologically-Inspired Massively-Parallel Architectures - computing beyond a million processors Biologically-Inspired Massively-Parallel Architectures - computing beyond a million processors Dave Lester The University of Manchester d.lester@manchester.ac.uk NeuroML March 2011 1 Outline 60 years of

More information

3D Wafer Scale Integration: A Scaling Path to an Intelligent Machine

3D Wafer Scale Integration: A Scaling Path to an Intelligent Machine 3D Wafer Scale Integration: A Scaling Path to an Intelligent Machine Arvind Kumar, IBM Thomas J. Watson Research Center Zhe Wan, UCLA Elec. Eng. Dept. Winfried W. Wilcke, IBM Almaden Research Center Subramanian

More information

Neuro-inspired Computing Systems & Applications

Neuro-inspired Computing Systems & Applications 2018 International Conference on Intelligent Autonomous Systems (ICoIAS 2018), March 1-3, 2018, Singapore Neuro-inspired Computing Systems & Applications Ben Abdallah Abderazek Adaptive Systems Laboratory

More information

In-memory computing with emerging memory devices

In-memory computing with emerging memory devices In-memory computing with emerging memory devices Dipartimento di Elettronica, Informazione e Bioingegneria Politecnico di Milano daniele.ielmini@polimi.it Emerging memory devices 2 Resistive switching

More information

Exploiting Device Mismatch in Neuromorphic VLSI Systems to Implement Axonal Delays

Exploiting Device Mismatch in Neuromorphic VLSI Systems to Implement Axonal Delays WCCI 2012 IEEE World Congress on Computational Intelligence June, 10-15, 2012 - Brisbane, Australia IJCNN Exploiting Device Mismatch in Neuromorphic VLSI Systems to Implement Axonal Delays Sadique Sheik,

More information

SpiNNaker - a million core ARM-powered neural HPC

SpiNNaker - a million core ARM-powered neural HPC The Advanced Processor Technologies Group SpiNNaker - a million core ARM-powered neural HPC Cameron Patterson cameron.patterson@cs.man.ac.uk School of Computer Science, The University of Manchester, UK

More information

EMERGING NON VOLATILE MEMORY

EMERGING NON VOLATILE MEMORY EMERGING NON VOLATILE MEMORY Innovative components for neuromorphic architecture Leti, technology research institute Contact: leti.contact@cea.fr Neuromorphic architecture Brain-inspired computing has

More information

A clock-less ultra-low power bit-serial LVDS link for Address-Event multi-chip systems

A clock-less ultra-low power bit-serial LVDS link for Address-Event multi-chip systems A clock-less ultra-low power bit-serial LVDS link for Address-Event multi-chip systems Ning Qiao Institute of Neuroinformatics University of Zurich and ETH Zurich Zurich, Switzerland Email: qiaoning@ini.uzh.ch

More information

Neuromorphic Hardware. Adrita Arefin & Abdulaziz Alorifi

Neuromorphic Hardware. Adrita Arefin & Abdulaziz Alorifi Neuromorphic Hardware Adrita Arefin & Abdulaziz Alorifi Introduction Neuromorphic hardware uses the concept of VLSI systems consisting of electronic analog circuits to imitate neurobiological architecture

More information

Massively Parallel Computing on Silicon: SIMD Implementations. V.M.. Brea Univ. of Santiago de Compostela Spain

Massively Parallel Computing on Silicon: SIMD Implementations. V.M.. Brea Univ. of Santiago de Compostela Spain Massively Parallel Computing on Silicon: SIMD Implementations V.M.. Brea Univ. of Santiago de Compostela Spain GOAL Give an overview on the state-of of-the- art of Digital on-chip CMOS SIMD Solutions,

More information

A Compiler for Scalable Placement and Routing of Brain-like Architectures

A Compiler for Scalable Placement and Routing of Brain-like Architectures A Compiler for Scalable Placement and Routing of Brain-like Architectures Narayan Srinivasa Center for Neural and Emergent Systems HRL Laboratories LLC Malibu, CA International Symposium on Physical Design

More information

Mohsen Imani. University of California San Diego. System Energy Efficiency Lab seelab.ucsd.edu

Mohsen Imani. University of California San Diego. System Energy Efficiency Lab seelab.ucsd.edu Mohsen Imani University of California San Diego Winter 2016 Technology Trend for IoT http://www.flashmemorysummit.com/english/collaterals/proceedi ngs/2014/20140807_304c_hill.pdf 2 Motivation IoT significantly

More information

IOT Deployed PPI. Montgomery County, MD Thingstitute A case study of three test environments

IOT Deployed PPI. Montgomery County, MD Thingstitute A case study of three test environments IOT Deployed PPI Montgomery County, MD Thingstitute A case study of three test environments What problems exist in IoT? Scalability How many devices can be communicating? Sustainability How long can a

More information

Exploration of dynamic communication networks for neuromorphic computing

Exploration of dynamic communication networks for neuromorphic computing Eindhoven University of Technology MASTER Exploration of dynamic communication networks for neuromorphic computing Huynh, P.K. Award date: 2016 Disclaimer This document contains a student thesis (bachelor's

More information

A Real-Time, FPGA based, Biologically Plausible Neural Network Processor

A Real-Time, FPGA based, Biologically Plausible Neural Network Processor A Real-Time, FPGA based, Biologically Plausible Neural Network Processor Martin Pearson 1, Ian Gilhespy 1, Kevin Gurney 2, Chris Melhuish 1, Benjamin Mitchinson 2, Mokhtar Nibouche 1, Anthony Pipe 1 1

More information

An Improved Neural Network Design with Asynchronous Programmable Synaptic Memory

An Improved Neural Network Design with Asynchronous Programmable Synaptic Memory Foundation of Computer Science FCS, Ne York, USA Volume 1 No.7, May 2015.caeaccess.org An Improved Neural Netork Design ith Asynchronous Programmable Synaptic Memory Vaishnavi.M PG Student, ECE Department

More information

A scalable multi-core architecture with heterogeneous memory structures for Dynamic Neuromorphic Asynchronous Processors (DYNAPs)

A scalable multi-core architecture with heterogeneous memory structures for Dynamic Neuromorphic Asynchronous Processors (DYNAPs) A scalable multi-core architecture with heterogeneous memory structures for Dynamic Neuromorphic Asynchronous Processors (DYNAPs) Saber Moradi, Ning Qiao, Fabio Stefanini, and Giacomo Indiveri Computer

More information

Proposers Day Workshop

Proposers Day Workshop Proposers Day Workshop Monday, January 23, 2017 @srcjump, #JUMPpdw Advanced Devices, Packaging, and Materials Horizontal Research Center Aaron Oki NG Fellow Northrop Grumman Center Motivation Active and

More information

Approximate Fixed-Point Elementary Function Accelerator for the SpiNNaker-2 Neuromorphic Chip

Approximate Fixed-Point Elementary Function Accelerator for the SpiNNaker-2 Neuromorphic Chip Approximate Fixed-Point Elementary Function Accelerator for the SpiNNaker-2 Neuromorphic Chip Mantas Mikaitis, PhD student @ University of Manchester, UK mantas.mikaitis@manchester.ac.uk 25 th IEEE Symposium

More information

University of Zurich. Computing spike-based convolutions on GPUs. Zurich Open Repository and Archive. Nageswaran, J M; Dutt, N; Wang, Y; Delbrueck, T

University of Zurich. Computing spike-based convolutions on GPUs. Zurich Open Repository and Archive. Nageswaran, J M; Dutt, N; Wang, Y; Delbrueck, T University of Zurich Zurich Open Repository and Archive Winterthurerstr. 190 CH-8057 Zurich http://www.zora.uzh.ch Year: 2009 Computing spike-based convolutions on GPUs Nageswaran, J M; Dutt, N; Wang,

More information

Neural Computer Architectures

Neural Computer Architectures Neural Computer Architectures 5kk73 Embedded Computer Architecture By: Maurice Peemen Date: Convergence of different domains Neurobiology Applications 1 Constraints Machine Learning Technology Innovations

More information

ENERGY CHALLENGES OF COMPUTING FOR CPS SYSTEMS

ENERGY CHALLENGES OF COMPUTING FOR CPS SYSTEMS ENERGY CHALLENGES OF COMPUTING FOR CPS SYSTEMS Marc Duranton CEA Fellow Architecture, IC Design & Embedded Software Division Commissariat à l énergie atomique et aux énergies alternatives Platform4CPS

More information

Achieving Lightweight Multicast in Asynchronous Networks-on-Chip Using Local Speculation

Achieving Lightweight Multicast in Asynchronous Networks-on-Chip Using Local Speculation Achieving Lightweight Multicast in Asynchronous Networks-on-Chip Using Local Speculation Kshitij Bhardwaj Dept. of Computer Science Columbia University Steven M. Nowick 2016 ACM/IEEE Design Automation

More information

PULP: an open source hardware-software platform for near-sensor analytics. Luca Benini IIS-ETHZ & DEI-UNIBO

PULP: an open source hardware-software platform for near-sensor analytics. Luca Benini IIS-ETHZ & DEI-UNIBO PULP: an open source hardware-software platform for near-sensor analytics Luca Benini IIS-ETHZ & DEI-UNIBO An IoT System View Sense MEMS IMU MEMS Microphone ULP Imager Analyze µcontroller L2 Memory e.g.

More information

Computing Spike-based Convolutions on GPUs

Computing Spike-based Convolutions on GPUs Computing Spike-based Convolutions on GPUs Jayram Moorkanikara Nageswaran and Nikil Dutt Center for Embedded Systems Donald Bren School of Information and Computer Science University of California, Irvine

More information

Neuromorphic Computing: Our approach to developing applications using a new model of computing

Neuromorphic Computing: Our approach to developing applications using a new model of computing Neuromorphic Computing: Our approach to developing applications using a new model of computing David J. Mountain Senior Technical Director Advanced Computing Systems Research Program Background Info Outline

More information

Small is the New Big: Data Analytics on the Edge

Small is the New Big: Data Analytics on the Edge Small is the New Big: Data Analytics on the Edge An overview of processors and algorithms for deep learning techniques on the edge Dr. Abhay Samant VP Engineering, Hiller Measurements Adjunct Faculty,

More information

Outline Marquette University

Outline Marquette University COEN-4710 Computer Hardware Lecture 1 Computer Abstractions and Technology (Ch.1) Cristinel Ababei Department of Electrical and Computer Engineering Credits: Slides adapted primarily from presentations

More information

NetSpeed ORION: A New Approach to Design On-chip Interconnects. August 26 th, 2013

NetSpeed ORION: A New Approach to Design On-chip Interconnects. August 26 th, 2013 NetSpeed ORION: A New Approach to Design On-chip Interconnects August 26 th, 2013 INTERCONNECTS BECOMING INCREASINGLY IMPORTANT Growing number of IP cores Average SoCs today have 100+ IPs Mixing and matching

More information

MNSIM: A Simulation Platform for Memristor-based Neuromorphic Computing System

MNSIM: A Simulation Platform for Memristor-based Neuromorphic Computing System MNSIM: A Simulation Platform for Memristor-based Neuromorphic Computing System Lixue Xia 1, Boxun Li 1, Tianqi Tang 1, Peng Gu 12, Xiling Yin 1, Wenqin Huangfu 1, Pai-Yu Chen 3, Shimeng Yu 3, Yu Cao 3,

More information

Brainchip OCTOBER

Brainchip OCTOBER Brainchip OCTOBER 2017 1 Agenda Neuromorphic computing background Akida Neuromorphic System-on-Chip (NSoC) Brainchip OCTOBER 2017 2 Neuromorphic Computing Background Brainchip OCTOBER 2017 3 A Brief History

More information

VLSI Design Automation. Maurizio Palesi

VLSI Design Automation. Maurizio Palesi VLSI Design Automation 1 Outline Technology trends VLSI Design flow (an overview) 2 Outline Technology trends VLSI Design flow (an overview) 3 IC Products Processors CPU, DSP, Controllers Memory chips

More information

Brain-like Cognitive Engineering Systems

Brain-like Cognitive Engineering Systems Brain-like Cognitive Engineering Systems Jan M. Rabaey, University of California @ Berkeley With A. Rahimi, S. Dutta, M. Rusch, P. Kanerva, B. Olshausen,, P. Wong and S. Mitra (and others) IT S TIME TO

More information

3D Integration & Packaging Challenges with through-silicon-vias (TSV)

3D Integration & Packaging Challenges with through-silicon-vias (TSV) NSF Workshop 2/02/2012 3D Integration & Packaging Challenges with through-silicon-vias (TSV) Dr John U. Knickerbocker IBM - T.J. Watson Research, New York, USA Substrate IBM Research Acknowledgements IBM

More information

Embedded Systems: Hardware Components (part I) Todor Stefanov

Embedded Systems: Hardware Components (part I) Todor Stefanov Embedded Systems: Hardware Components (part I) Todor Stefanov Leiden Embedded Research Center Leiden Institute of Advanced Computer Science Leiden University, The Netherlands Outline Generic Embedded System

More information

Towards biologically realistic multi-compartment neuron model emulation in analog VLSI

Towards biologically realistic multi-compartment neuron model emulation in analog VLSI Towards biologically realistic multi-compartment neuron model emulation in analog VLSI Sebastian Millner, Andreas Hartel, Johannes Schemmel and Karlheinz Meier Universität Heidelberg - Kirchhoff-Institut

More information

FLEXIBLE USB 2.0-BASED ADDRESS- EVENT REPRESENTATION ROUTER

FLEXIBLE USB 2.0-BASED ADDRESS- EVENT REPRESENTATION ROUTER FLEXIBLE USB 2.0-BASED ADDRESS- EVENT REPRESENTATION ROUTER Matthew Carlberg Department of Electrical Engineering Columbia University New York, NY 10027 mac2115@columbia.edu Marc Goldman Department of

More information

More Course Information

More Course Information More Course Information Labs and lectures are both important Labs: cover more on hands-on design/tool/flow issues Lectures: important in terms of basic concepts and fundamentals Do well in labs Do well

More information

Why Normalizing v? Why did we normalize v on the right side? Because we want the length of the left side to be the eigenvalue

Why Normalizing v? Why did we normalize v on the right side? Because we want the length of the left side to be the eigenvalue Why Normalizing v? Why did we normalize v on the right side? Because we want the length of the left side to be the eigenvalue 1 CCI PCA Algorithm (1) 2 CCI PCA Algorithm (2) 3 PCA from the FERET Face Image

More information

Spiking Neural P Systems and Petri Nets

Spiking Neural P Systems and Petri Nets Spiking Neural P Systems and Petri Nets By M.V.Padmavati Bhilai Institute of Technology, Durg Co-Authors Dr. Deepak Garg Thapar University Dr. (Mrs.) Kamala Krithivasan IIT Madras, Chennai Outline Spiking

More information

Data Mining. Neural Networks

Data Mining. Neural Networks Data Mining Neural Networks Goals for this Unit Basic understanding of Neural Networks and how they work Ability to use Neural Networks to solve real problems Understand when neural networks may be most

More information

Low-Power Neural Processor for Embedded Human and Face detection

Low-Power Neural Processor for Embedded Human and Face detection Low-Power Neural Processor for Embedded Human and Face detection Olivier Brousse 1, Olivier Boisard 1, Michel Paindavoine 1,2, Jean-Marc Philippe, Alexandre Carbon (1) GlobalSensing Technologies (GST)

More information

Digital Fabric. PDXScholar

Digital Fabric. PDXScholar Portland State University PDXScholar Dissertations and Theses Dissertations and Theses 1-1-2012 Digital Fabric Sudheer Goshi Portland State University Let us know how access to this document benefits you.

More information

Real-time autonomous robot navigation using VLSI neural networks

Real-time autonomous robot navigation using VLSI neural networks Real-time autonomous robot navigation using VLSI neural networks Lionel Tarassenko Michael Brownlow Gillian Marshall Department of Engineering Science Oxford University, Oxford, OXl 3PJ, UK Jon Tombs Alan

More information

Adding CEA-LETI Non Volatile Memories for new design exploration

Adding CEA-LETI Non Volatile Memories for new design exploration Adding CEA-LETI Non Volatile Memories for new design exploration Etienne NOWAK CEA-Leti Head of the Advanced Memory Device Laboratory etienne.nowak@cea.fr NON VOLATILE MEMORY (NVM) MARKET TRENDS Low/No

More information

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions 04/15/14 1 Introduction: Low Power Technology Process Hardware Architecture Software Multi VTH Low-power circuits Parallelism

More information

An Evaluation of an Energy Efficient Many-Core SoC with Parallelized Face Detection

An Evaluation of an Energy Efficient Many-Core SoC with Parallelized Face Detection An Evaluation of an Energy Efficient Many-Core SoC with Parallelized Face Detection Hiroyuki Usui, Jun Tanabe, Toru Sano, Hui Xu, and Takashi Miyamori Toshiba Corporation, Kawasaki, Japan Copyright 2013,

More information

Computer Hardware Requirements for Real-Time Applications

Computer Hardware Requirements for Real-Time Applications Lecture (4) Computer Hardware Requirements for Real-Time Applications Prof. Kasim M. Al-Aubidy Computer Engineering Department Philadelphia University Real-Time Systems, Prof. Kasim Al-Aubidy 1 Lecture

More information

Power dissipation! The VLSI Interconnect Challenge. Interconnect is the crux of the problem. Interconnect is the crux of the problem.

Power dissipation! The VLSI Interconnect Challenge. Interconnect is the crux of the problem. Interconnect is the crux of the problem. The VLSI Interconnect Challenge Avinoam Kolodny Electrical Engineering Department Technion Israel Institute of Technology VLSI Challenges System complexity Performance Tolerance to digital noise and faults

More information

Parallelization and optimization of the neuromorphic simulation code. Application on the MNIST problem

Parallelization and optimization of the neuromorphic simulation code. Application on the MNIST problem Parallelization and optimization of the neuromorphic simulation code. Application on the MNIST problem Raphaël Couturier, Michel Salomon FEMTO-ST - DISC Department - AND Team November 2 & 3, 2015 / Besançon

More information

Lecture 41: Introduction to Reconfigurable Computing

Lecture 41: Introduction to Reconfigurable Computing inst.eecs.berkeley.edu/~cs61c CS61C : Machine Structures Lecture 41: Introduction to Reconfigurable Computing Michael Le, Sp07 Head TA April 30, 2007 Slides Courtesy of Hayden So, Sp06 CS61c Head TA Following

More information

NEUTRAMS: Neural Network Transformation and Co-design under Neuromorphic Hardware Constraints

NEUTRAMS: Neural Network Transformation and Co-design under Neuromorphic Hardware Constraints NEUTRAMS: Neural Network Transformation and Co-design under Neuromorphic Hardware Constraints Yu Ji, YouHui Zhang, ShuangChen Li,PingChi, CiHang Jiang,PengQu,YuanXie, WenGuang Chen Email: zyh02@tsinghua.edu.cn,

More information

Modular Neural Tile Architecture for Compact Embedded Hardware Spiking Neural Network

Modular Neural Tile Architecture for Compact Embedded Hardware Spiking Neural Network Neural Process Lett DOI 10.1007/s11063-012-9274-5 Modular Neural Tile Architecture for Compact Embedded Hardware Spiking Neural Network Sandeep Pande Fearghal Morgan Seamus Cawley Tom Bruintjes Gerard

More information

A Large-Scale Spiking Neural Network Accelerator for FPGA Systems

A Large-Scale Spiking Neural Network Accelerator for FPGA Systems A Large-Scale Spiking Neural Network Accelerator for FPGA Systems Kit Cheung 1, Simon R Schultz 2, Wayne Luk 1 1 Department of Computing, 2 Department of Bioengineering Imperial College London {k.cheung11,

More information

Reconfigurable Spintronic Fabric using Domain Wall Devices

Reconfigurable Spintronic Fabric using Domain Wall Devices Reconfigurable Spintronic Fabric using Domain Wall Devices Ronald F. DeMara, Ramtin Zand, Arman Roohi, Soheil Salehi, and Steven Pyle Department of Electrical and Computer Engineering University of Central

More information

Enabling web-based interactive notebooks on geographically distributed HPC resources. Alexandre Beche

Enabling web-based interactive notebooks on geographically distributed HPC resources. Alexandre Beche Enabling web-based interactive notebooks on geographically distributed HPC resources Alexandre Beche Outlines 1. Context 2. Interactive notebook running on cluster(s) 3. Advanced

More information

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica VLSI Design Automation 1 Outline Technology trends VLSI Design flow (an overview) 2 IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing

More information

Componentistica e Ecosistema per IoT: From the SAND to the CLOUD Robotizing the World. Alessandro Cremonesi STMicroelectronics

Componentistica e Ecosistema per IoT: From the SAND to the CLOUD Robotizing the World. Alessandro Cremonesi STMicroelectronics Componentistica e Ecosistema per IoT: From the SAND to the CLOUD Robotizing the World Alessandro Cremonesi STMicroelectronics A global semiconductor leader 2017 revenues of $8.35B with yearon-year growth

More information

Proposers Day Workshop

Proposers Day Workshop Proposers Day Workshop Monday, January 23, 2017 @srcjump, #JUMPpdw Intelligent Memory and Storage Vertical Research Center Sean Eilert Fellow Micron Technology High Level Overview Conventional Bottlenecks

More information

Early Evaluation of the "Infinite Memory Engine" Burst Buffer Solution

Early Evaluation of the Infinite Memory Engine Burst Buffer Solution Early Evaluation of the "Infinite Memory Engine" Burst Buffer Solution Wolfram Schenck Faculty of Engineering and Mathematics, Bielefeld University of Applied Sciences, Bielefeld, Germany Salem El Sayed,

More information

CS283: Robotics Fall 2016: Software

CS283: Robotics Fall 2016: Software CS283: Robotics Fall 2016: Software Sören Schwertfeger / 师泽仁 ShanghaiTech University Mobile Robotics ShanghaiTech University - SIST - 18.09.2016 2 Review Definition Robot: A machine capable of performing

More information

L évolution des architectures et des technologies d intégration des circuits intégrés dans les Data centers

L évolution des architectures et des technologies d intégration des circuits intégrés dans les Data centers I N S T I T U T D E R E C H E R C H E T E C H N O L O G I Q U E L évolution des architectures et des technologies d intégration des circuits intégrés dans les Data centers 10/04/2017 Les Rendez-vous de

More information

A new Computer Vision Processor Chip Design for automotive ADAS CNN applications in 22nm FDSOI based on Cadence VP6 Technology

A new Computer Vision Processor Chip Design for automotive ADAS CNN applications in 22nm FDSOI based on Cadence VP6 Technology Dr.-Ing Jens Benndorf (DCT) Gregor Schewior (DCT) A new Computer Vision Processor Chip Design for automotive ADAS CNN applications in 22nm FDSOI based on Cadence VP6 Technology Tensilica Day 2017 16th

More information

A survey of the SpiNNaker Project : A massively parallel spiking Neural Network Architecture

A survey of the SpiNNaker Project : A massively parallel spiking Neural Network Architecture A survey of the SpiNNaker Project : A massively parallel spiking Neural Network Architecture Per Lenander Mälardalen University Robotics program Västerås, Sweden plr07001@student.mdh.se ABSTRACT Scientists

More information

Project Number: Project Title: Human Brain Project. Neuromorphic Platform Specification public version

Project Number: Project Title: Human Brain Project. Neuromorphic Platform Specification public version Project Number: 604102 Project Title: Human Brain Project Document Title: Neuromorphic Platform Specification public version Document Filename: HBP SP9 D9.7.1 NeuromorphicPlatformSpec e199ac5 from 26 March

More information

May Wu, Ravi Iyer, Yatin Hoskote, Steven Zhang, Julio Zamora, German Fabila, Ilya Klotchkov, Mukesh Bhartiya. August, 2015

May Wu, Ravi Iyer, Yatin Hoskote, Steven Zhang, Julio Zamora, German Fabila, Ilya Klotchkov, Mukesh Bhartiya. August, 2015 May Wu, Ravi Iyer, Yatin Hoskote, Steven Zhang, Julio Zamora, German Fabila, Ilya Klotchkov, Mukesh Bhartiya August, 2015 Legal Notices and Disclaimers Intel technologies may require enabled hardware,

More information

Reliable Physical Unclonable Function based on Asynchronous Circuits

Reliable Physical Unclonable Function based on Asynchronous Circuits Reliable Physical Unclonable Function based on Asynchronous Circuits Kyung Ki Kim Department of Electronic Engineering, Daegu University, Gyeongbuk, 38453, South Korea. E-mail: kkkim@daegu.ac.kr Abstract

More information

Silicon Auditory Processors as Computer Peripherals

Silicon Auditory Processors as Computer Peripherals Silicon uditory Processors as Computer Peripherals John Lazzaro, John Wawrzynek CS Division UC Berkeley Evans Hall Berkeley, C 94720 lazzaro@cs.berkeley.edu, johnw@cs.berkeley.edu M. Mahowald, Massimo

More information

When will the cost of dependability end innovation in computer design?

When will the cost of dependability end innovation in computer design? When will the cost of dependability end innovation in computer design? VTS-2015 panel session Andrew B. Kahng UCSD CSE and ECE Departments abk@ucsd.edu http://vlsicad.ucsd.edu 1 Huh??? IMHO, The cost of

More information

EXTREMELY LOW-POWER AI HARDWARE ENABLED BY CRYSTALLINE OXIDE SEMICONDUCTORS

EXTREMELY LOW-POWER AI HARDWARE ENABLED BY CRYSTALLINE OXIDE SEMICONDUCTORS Semiconductor Energy Laboratory: White Paper EXTREMELY LOW-POWER AI HARDWARE ENABLED BY CRYSTALLINE OXIDE SEMICONDUCTORS Semiconductor Energy Laboratory (SEL): Extremely low-power AI chips can be built

More information

Smart Ultra-Low Power Visual Sensing

Smart Ultra-Low Power Visual Sensing Smart Ultra-Low Power Visual Sensing Manuele Rusci*, Francesco Conti * manuele.rusci@unibo.it f.conti@unibo.it Energy-Efficient Embedded Systems Laboratory Dipartimento di Ingegneria dell Energia Elettrica

More information

NoC Round Table / ESA Sep Asynchronous Three Dimensional Networks on. on Chip. Abbas Sheibanyrad

NoC Round Table / ESA Sep Asynchronous Three Dimensional Networks on. on Chip. Abbas Sheibanyrad NoC Round Table / ESA Sep. 2009 Asynchronous Three Dimensional Networks on on Chip Frédéric ric PétrotP Outline Three Dimensional Integration Clock Distribution and GALS Paradigm Contribution of the Third

More information

Agenda. System Performance Scaling of IBM POWER6 TM Based Servers

Agenda. System Performance Scaling of IBM POWER6 TM Based Servers System Performance Scaling of IBM POWER6 TM Based Servers Jeff Stuecheli Hot Chips 19 August 2007 Agenda Historical background POWER6 TM chip components Interconnect topology Cache Coherence strategies

More information

Execution Model: Neuromorphic Computing

Execution Model: Neuromorphic Computing Execution Model: Neuromorphic Computing Armin Schaare Arbeitsbereich Wissenschaftliches Rechnen Fachbereich Informatik Fakultät für Mathematik, Informatik und Naturwissenschaften Universität Hamburg 2015-12-07

More information

Hardware/Software Co-design

Hardware/Software Co-design Hardware/Software Co-design Zebo Peng, Department of Computer and Information Science (IDA) Linköping University Course page: http://www.ida.liu.se/~petel/codesign/ 1 of 52 Lecture 1/2: Outline : an Introduction

More information

3D INTEGRATION, A SMART WAY TO ENHANCE PERFORMANCE. Leti Devices Workshop December 3, 2017

3D INTEGRATION, A SMART WAY TO ENHANCE PERFORMANCE. Leti Devices Workshop December 3, 2017 3D INTEGRATION, A SMART WAY TO ENHANCE PERFORMANCE OVERAL GOAL OF THIS TALK Hybrid bonding 3D sequential 3D VLSI technologies (3D VIA Pitch

More information

Neurmorphic Architectures. Kenneth Rice and Tarek Taha Clemson University

Neurmorphic Architectures. Kenneth Rice and Tarek Taha Clemson University Neurmorphic Architectures Kenneth Rice and Tarek Taha Clemson University Historical Highlights Analog VLSI Carver Mead and his students pioneered the development avlsi technology for use in neural circuits

More information

Lecture 14. Advanced Technologies on SRAM. Fundamentals of SRAM State-of-the-Art SRAM Performance FinFET-based SRAM Issues SRAM Alternatives

Lecture 14. Advanced Technologies on SRAM. Fundamentals of SRAM State-of-the-Art SRAM Performance FinFET-based SRAM Issues SRAM Alternatives Source: Intel the area ratio of SRAM over logic increases Lecture 14 Advanced Technologies on SRAM Fundamentals of SRAM State-of-the-Art SRAM Performance FinFET-based SRAM Issues SRAM Alternatives Reading:

More information

CLAASIC: a Cortex-Inspired Hardware Accelerator

CLAASIC: a Cortex-Inspired Hardware Accelerator CLAASIC: a Cortex-Inspired Hardware Accelerator V. Puente, J.A. Gregorio University of Cantabria Santander, Spain vpuente@unican.es Abstract This work explores the feasibility of specialized hardware implementing

More information

Administrative. Assignment 1 due Wednesday April 18, 11:59pm

Administrative. Assignment 1 due Wednesday April 18, 11:59pm Lecture 4-1 Administrative Assignment 1 due Wednesday April 18, 11:59pm Lecture 4-2 Administrative All office hours this week will use queuestatus Lecture 4-3 Where we are... scores function SVM loss data

More information

Miniaturized embedded event based vision for high-speed robots

Miniaturized embedded event based vision for high-speed robots Miniaturized embedded event based vision for high-speed robots Lukas Everding, Mohsen Firouzi, Michael Lutter, Florian Mirus, Nicolai Waniek, Zied Tayeb Dr. Cristian Axenie, Viviane Ghaderi, Ph.D., Dr.

More information

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey System-on-Chip Architecture for Mobile Applications Sabyasachi Dey Email: sabyasachi.dey@gmail.com Agenda What is Mobile Application Platform Challenges Key Architecture Focus Areas Conclusion Mobile Revolution

More information

September 13, 2016 Keynote

September 13, 2016 Keynote BiTS China 2016 Premium Archive 2016 BiTS Workshop Image: 一花一菩提 /HuiTu.com September 13, 2016 Keynote Burn-in & Test Strategies Workshop www.bitsworkshop.org September 13, 2016 BiTS China 2016 Premium

More information

6. NEURAL NETWORK BASED PATH PLANNING ALGORITHM 6.1 INTRODUCTION

6. NEURAL NETWORK BASED PATH PLANNING ALGORITHM 6.1 INTRODUCTION 6 NEURAL NETWORK BASED PATH PLANNING ALGORITHM 61 INTRODUCTION In previous chapters path planning algorithms such as trigonometry based path planning algorithm and direction based path planning algorithm

More information

Design and Performance Analysis of and Gate using Synaptic Inputs for Neural Network Application

Design and Performance Analysis of and Gate using Synaptic Inputs for Neural Network Application IJIRST International Journal for Innovative Research in Science & Technology Volume 1 Issue 12 May 2015 ISSN (online): 2349-6010 Design and Performance Analysis of and Gate using Synaptic Inputs for Neural

More information

Finite State Machines (FSMs) and RAMs and CPUs. COS 116, Spring 2011 Sanjeev Arora

Finite State Machines (FSMs) and RAMs and CPUs. COS 116, Spring 2011 Sanjeev Arora Finite State Machines (FSMs) and RAMs and CPUs COS 116, Spring 2011 Sanjeev Arora Recap Combinational logic circuits: no cycles, hence no memory Sequential circuits: cycles allowed; can have memory as

More information

FABRICATION TECHNOLOGIES

FABRICATION TECHNOLOGIES FABRICATION TECHNOLOGIES DSP Processor Design Approaches Full custom Standard cell** higher performance lower energy (power) lower per-part cost Gate array* FPGA* Programmable DSP Programmable general

More information

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape Edition April 2017 Semiconductor technology & processing 3D systems-on-chip A clever partitioning of circuits to improve area, cost, power and performance. In recent years, the technology of 3D integration

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

ECE/CS 757: Advanced Computer Architecture II Interconnects

ECE/CS 757: Advanced Computer Architecture II Interconnects ECE/CS 757: Advanced Computer Architecture II Interconnects Instructor:Mikko H Lipasti Spring 2017 University of Wisconsin-Madison Lecture notes created by Natalie Enright Jerger Lecture Outline Introduction

More information

An Overview of Standard Cell Based Digital VLSI Design

An Overview of Standard Cell Based Digital VLSI Design An Overview of Standard Cell Based Digital VLSI Design With examples taken from the implementation of the 36-core AsAP1 chip and the 1000-core KiloCore chip Zhiyi Yu, Tinoosh Mohsenin, Aaron Stillmaker,

More information

Neural Networks CMSC475/675

Neural Networks CMSC475/675 Introduction to Neural Networks CMSC475/675 Chapter 1 Introduction Why ANN Introduction Some tasks can be done easily (effortlessly) by humans but are hard by conventional paradigms on Von Neumann machine

More information

High Performance Computing Activities funded by the Future Emerging Technologies European Unit

High Performance Computing Activities funded by the Future Emerging Technologies European Unit 2007-2013 European Research FET Future Emerging Technologies High Performance Computing Activities funded by the Future Emerging Technologies European Unit Pier Stanislao PAOLUCCI EURETILE FET Project

More information

ADVANCED FPGA BASED SYSTEM DESIGN. Dr. Tayab Din Memon Lecture 3 & 4

ADVANCED FPGA BASED SYSTEM DESIGN. Dr. Tayab Din Memon Lecture 3 & 4 ADVANCED FPGA BASED SYSTEM DESIGN Dr. Tayab Din Memon tayabuddin.memon@faculty.muet.edu.pk Lecture 3 & 4 Books Recommended Books: Text Book: FPGA Based System Design by Wayne Wolf Overview Why VLSI? Moore

More information

Does the Brain do Inverse Graphics?

Does the Brain do Inverse Graphics? Does the Brain do Inverse Graphics? Geoffrey Hinton, Alex Krizhevsky, Navdeep Jaitly, Tijmen Tieleman & Yichuan Tang Department of Computer Science University of Toronto How to learn many layers of features

More information

The organization of two new cortical interneuronal circuits

The organization of two new cortical interneuronal circuits CORRECTION notice Nat. Neurosci. 16, 210 218 (2013) The organization of two new cortical interneuronal circuits Xiaolong Jiang, Guangfu Wang, Alice J Lee, Ruth L Stornetta & J Julius Zhu In the version

More information