JasperGold. Agenda. High-Level Formal Verification

Size: px
Start display at page:

Download "JasperGold. Agenda. High-Level Formal Verification"

Transcription

1 JasperGold High-Level Formal Verification Vigyan Singhal Harry D. Foster 1 Agenda Jasper introduction Model checking Block-level verification - High-level requirements - Formal testplan - Coverage Formal Testplanner PSL (Property Specification Language) 2 1

2 Jasper Design Automation Jasper is the premier electronic design automation (EDA) supplier of high-level formal functional verification software. Jasper s solution achieves 100% Actual Coverage improving the quality of electronic design, predictably and within verification schedule constraints Jasper has unique and valuable technology that changes the verification game and makes formal verification real. 3 Jasper Design Automation Founded in 1999 (originally Tempus Fugit) Over $20M in funding -- led by Foundation Capital, Accel Partners Management - Kathryn Kranen President and CEO (Verisity, Quickturn) - Vigyan Singhal CTO (Cadence, UC Berkeley) - Harry Foster Chief Methodologist (Verplex, HP) - Craig Cochran VP Marketing (Synopsys) - Craig Shirley VP Sales (Verisity) - Nafees Qureshy VP Engineering (CoWare) 9 PhDs in Formal Verification - UC Berkeley, Stanford, CalTech, Chalmers, Gothenburg 4 2

3 Sequential Satisfiability (model checking) Is there a sequence of input assignments such that p is 1 at any finite time time? a 0 0 q 0 0 p Combinational gates + flops (with initial values) 5 Sequential Satisfiability (model checking) Is there a sequence of input assignments such that p is 1 at any finite time time? a q 0 0 p 6 3

4 Sequential Satisfiability (model checking) Is there a sequence of input assignments such that p is 1 at any finite time time? a q p 7 Sequential Satisfiability (model checking) Is there a sequence of input assignments such that p is 1 at any finite time time? a q p 8 4

5 Sequential Satisfiability (model checking) Is there a sequence of input assignments such that p is 1 at any finite time time? a q p 9 Sequential Satisfiability (model checking) Is there a sequence of input assignments such that p is 1 at any finite time time? a q 1 2 p 10 5

6 Sequential Satisfiability (model checking) Is there a sequence of input assignments such that p is 1 at any finite time time? a 0 0 q 0 0 p Combinational gates + flops (with initial values) Complexity: PSPACE-hard (Aziz 93) 11 Model Checking use model Does the design have a given desirable property? Properties and Constraints Design under test Model Checker Verified or CounterExample p q Properties - Specification Constraints - Assumptions needed to prove Properties Design under test - Implementation 12 6

7 Functional Verification Today Test Bench Large hdl Verification starts late Creating the chip-level testbench can be as difficult as creating the chip model. Poor coverage Full chip verification does not provide enough coverage to find all bugs. High controllability of block-level interfaces is difficult to achieve from stimulus generated at the chip boundary. Low observability Internal errors during full chip simulation might not propagate to an observable output during the test. 13 Design and Verification Flow Spec Design Validate Chip SRAM CPU Chip testbench Test IP I/F Refine & Partition SRAM Test IP CPU I/F Super- Block FIFO Algorithm Controller Sync M U X Most of today s verification is performed at the chip level Block Timer Timer FSM Actions Actions FSM Integration & Verification Very little block-level verification is performed Unit or Module FSM Block-level testbench Timer Timer FSM Actions Actions FSM Cost of a re-spin > $1M + months of delay 14 7

8 What is necessary for FV to succeed in industry? FV solution must provide: 1. High Return-on-Effort - Measurable proof of quality 2. Ease of use (FV expert not require for successful) - Encapsulate formal expert knowledge into the tool - Strong engines + advance abstraction techniques - Guide the user to success 3. Sophisticated debug capabilities - Design illumination--enabling user to learn the design 4. Means to overcome the blank page syndrome - Specification challenge - Methodology challenge 5. Metrics to measure progress and success 15 What s the solution? Give up, accept the raw algorithm limitations and perform boundedmodel checking, or. How do we handle complexity for other applications? - For example, place-n-route is an NP-complete problem. - In place-n-route, using guidance, the tool can automatically partition the problem down into tractable pieces. To succeed, formal verification tools must combine advanced techniques with multiple, powerful search engines - For example, managed automatic tunneling,. - Encapsulate the knowledge of the formal expert into the tool! 16 8

9 JasperGold Manages Proof Capacity Tunneling, Monitors, and Abstraction High-level requirement Conventional and Hybrid Formal Tools Choke on All the Logic in the Cone of Influence. Progress and Coverage are Unknown. Inputs JasperGold Refines the Proof Space Counter Outputs RTL Block Abstracts Formal Unfriendly Structures and Displays Progress and Coverage 17 JasperGold - Ease of Use Jasper Formal Testplanner High-Level High-Level requirements requirements RTL Design Design Design Block Knowledge base of design-specific methodology and requirements templates JasperGold Proving Environment TM JasperGold Precognitive Precognitive Engine Engine TM TM Proof Proof engines engines Static, exhaustive proofs without simulation Proof navigation suggests next steps Isolates the root cause of each bug to the faulty line of RTL Functional Bugs Isolated -OR - Proven 100% Correct Interactive, context-sensitive debugging environment 18 9

10 In-line assertions (PSL example) module btrack (clk,allocate,deallocate,set); input clk, allocate, set, deallocate; reg active_r, valid_r; wire active_s, valid_s, active_in, valid_in; assign active_in = ((allocate active_s) & ~deallocate); clk) active_r <= active_in set; assign active_s = active_r; assign valid_in = (active_s & (set valid_s)); clk) begin valid_r <= valid_in; assign valid_s = valid_r; // psl property deallocate_when_not_active = // always {deallocate} -> {~active_s; valid_r}; // psl assert deallocate_when_not_active; endmodule 19 A high-level requirement example [DesignCon 04 PCI Express Paper] High-level requirement = no packets are dropped, duplicated, or corrupted Tx From Fabric Tx TLP A Seq_num LCRC Increment by 1 A FIFO Over Flow Retry buffer One-hot output A Packet arbiter To PHY From RX Rx DLLP A = Implementation assertions: low-level assertions (similar to writing simulation monitors) 20 10

11 Illustrating with an Example AHB to MSIF bridge?x From AHB Master To Wishbone via MSIF Requirement: A transaction from an AHB Master on an external device must NOT get duplicated, corrupted or dropped, when it goes out to the Wishbone fabric 21 Illustrating the packet ordering requirement using a FIFO Data Transport From Wishbone C From RX DLL B Tx A Rx TLP Seq_num LCRC DLLP Retry buffer Packet arbiter A 1 2 To PHY C write_ptr C B A read_ptr 22 11

12 HLR example clk or posedge rst) if (rst) begin wrptr <= 4 d0; rdptr <= 4 d0; for (i = 0; i < 4; i = i + 1) stored[i] = 64 b0; end else begin if (datain) begin wrptr <= wrptr + 4 d1; store[wrptr] <= din; end if (dataout) rdptr <= rdptr + 4 d1; end datain din[7:0] wrptr prove { ~ ((dataout) && (dout!= store[rdptr])) } prove { ~ (((wrptr + 4 d1) == rdptr) && ~dataout && datain) } prove { ~ ((wrptr == rdptr) && (dataout)) } C B A store[] dataout dout[31:0] rdptr Notice dependency on number of transactions in flight 23 High-level Requirements: high return on effort Data Integrity Arbitration Fairness High-level Requirements End-to-end Black box Based on design intent Are harder to prove Intent Implementation Low-level Assertions Localized Implementation-specific Can find bugs faster One Hot Increment By 1 FIFO Overflow FIFO Overflow Design Behavior 24 12

13 High-Level Formal vs. Conventional Formal JasperGold operates here µ-architecture Specification RTL Coding High-Level Requirements Implementation Assertions Intent Implementation Most formal tools operate here 25 Seven steps of a formal testplan µ-arch 1 Identify good candidates identify Define interface 2 3 English list Requirements checklist 4 inputs Requirements Block outputs Formal description 5 Proof Steps Verification strategy Coverage goals 6 7 Coverage items assert_never (); Formal description 26 13

14 Formal Testplan Example Transmit Path (tx) - Packets should not lose ordering or get dropped - Packets should not get duplicated - Packets headers should not get corrupted - Link Credits sent are less than or equal to Network Credits received - No Link Credits received from the network are lost (every credit is sent downstream, or recorded locally) - No packets are retried until timer expires - Any packet not ack ed is retried - Retried packets should not lose ordering or get dropped - Retried packets should not get duplicated Receive Path (rx) - Alignment - Packet Latency - 27 Overcoming the formal specification blank-page syndrome 28 14

15 JasperGold Proving Environment - Sophisticated Debug Design Illumination mode steers the user through the design - lets you get into the designer s head without talking to them Enables you to quickly expose the root cause of a bug, isolated down to the faulty line of RTL code Provides design-specific next steps and proof progress metrics 29 Are there success stories? Real examples include: - Arbiters of many different kinds - On-chip bus bridge - Power management unit - DMA controller - Host bus interface unit - Scheduler, implementing multiple virtual channels for QoS - Interrupt controller - Memory controller - Token generator - Credit manager block - Standard interface (AMBA, PCI Express, ) - Proprietary interfaces - Clock disable unit Common characteristic: concurrency, multiple data streams Multiple, concurrent streams Hard to completely verify using simulation 10 bugs per 1000 gates -Ted Scardamalia, IBM 30 15

16 Control, data transport Concurrent Handles multiple streams Corner-case bug is missed because randoms never excited that timing combination Bug example: during the first 3 cycles of a transaction start from one side of the interface, a second transaction came in on the other side of the interface and changed the config register. Design examples: arbiters, standard interface protocols (PCI), DMA controller 31 Data transform Sequential, functional Single-stream Corner-case bug is missed because testplan was not complete (spec was large) Bug example: the IFFT result is incorrect if both inputs are negative Designs: floating point unit, graphics shading unit, convolution unit in a DSP chip, MPEG decoder 32 16

17 On-chip Bridge (e.g. AHB-AHB) Master A HTRANSA HREADYA HRESPA AHB-AHB bridge HTRANS HREADY Slave Master B HTRANSB HREADYB HRESPB HRESP HLRs - Bridge transfers data correctly from one end to the other (accounting for 16-bit to 64-bit data width change, and splitting of long burst packets) - Bridge is fair to both masters - Complexity - Timing relationship between the two masters - Error responses from the slave with arbitrary timing relationship to what is happening in the master Corner-case - Bridge locks up if slave issues error on 2 nd cycle of a 4-beat request from master A, and master B makes a new request on the same cycle the error is passed on to master A 33 Verification solution must reduce collateral damage Today, design concurrency and complexity are explored late in the flow The problem is that at this state massive design interdependencies exist fully-developed RTL Late stage bugs can have significant collateral damage Exhaustively verified complexity System-level Verification Complexity explored Block-level Verification Sandbox Verification Complex bugs found late! Time 34 17

18 JasperGold Delivers Provably Correct Design 100% verified Data Integrity Flow Control Arbitration Fairness Error Handling Packet Ordering High-Level Requirements from Micro-Architecture Spec. time Prove-as-you-design Incrementally add design functionality, prove its correctness, and then ensure that it remains correct through final regressions 35 Two Reasons for Formal Verification Bugs Remaining Typical Debug Bug-Hunting Assurance Find Last bug Time By far, we [at Intel] have found that the greatest value of formal verification is assurance John O Leary, Intel Full proof provides the most value 36 18

19 Design and Verification Flow Spec Design Validate Chip SRAM CPU Chip testbench Test IP I/F Refine & Partition SRAM Test IP CPU I/F Super- Block FIFO Algorithm Controller Sync M U X Most of today s verification is performed at the chip level Block Timer Timer FSM Actions Actions FSM Integration & Verification Very little block-level verification is performed Unit or Module FSM Block-level testbench Timer Timer FSM Actions Actions FSM Cost of a re-spin > $1M + months of delay , Jasper Design Automation, Inc. All rights reserved. Design Cycle Comparison Simulation Flow Design Blocks Sanity Check Create Testbenches System Simulation Months Simulation + Formal Verification Flow Design Blocks Formal Verify Create Testbenches System Simulation Weeks Design and formal verification performed in parallel - 100% Proof 38 19

20 Retrun on Investment Simulation Methodology Easy Bugs Corner Case Bugs TAPE OUT! More Corner Cases! Block Design Unit-level Testing System-level Testing Simulations Silicon Testing Jasper Methodology Complete Verification with JasperGold during Design System-level Testing Simulations Tape-out Early Tape-out Right For every bug at system-level: Identify Block Identify Fix Re-run All Weeks* 39 Conclusion: Making Formal Verification Mainstream Higher returns - Block verification on real-sized designs - Expectation and predictability of results - For even higher results: Interactivity and methodology support User creativity always adds more Higher investment from the user - Lower investment ) marginal payoff - Cannot be factored in project schedules - Need predictable effort estimates - User interaction is acceptable, if effort can be quantified and estimated up front - Today, simulation can be replaced: launch-and-forget will not replace simulation - Don t short-change formal by applying only to local assertions 40 20

Assertion-Based Verification

Assertion-Based Verification Assertion-Based Verification ABV and Formal Property Checking Harry Foster Chief Scientist Verification info@verificationacademy.com www.verificationacademy.com Session Overview After completing this session

More information

Formal Technology in the Post Silicon lab

Formal Technology in the Post Silicon lab Formal Technology in the Post Silicon lab Real-Life Application Examples Haifa Verification Conference Jamil R. Mazzawi Lawrence Loh Jasper Design Automation Focus of This Presentation Finding bugs in

More information

Leveraging Formal Verification Throughout the Entire Design Cycle

Leveraging Formal Verification Throughout the Entire Design Cycle Leveraging Formal Verification Throughout the Entire Design Cycle Verification Futures Page 1 2012, Jasper Design Automation Objectives for This Presentation Highlight several areas where formal verification

More information

Øystein Kolsrud Jasper Design Automation

Øystein Kolsrud Jasper Design Automation Industrial Application of Formal Verification Øystein Kolsrud Jasper Design Automation Copyright Notice and Proprietary Information Published: March 17, 2009 Copyright 2006-2009 Jasper Design Automation,

More information

Hardware Design Verification: Simulation and Formal Method-Based Approaches William K Lam Prentice Hall Modern Semiconductor Design Series

Hardware Design Verification: Simulation and Formal Method-Based Approaches William K Lam Prentice Hall Modern Semiconductor Design Series Design Verification An Introduction Main References Hardware Design Verification: Simulation and Formal Method-Based Approaches William K Lam Prentice Hall Modern Semiconductor Design Series A Roadmap

More information

CREATIVE ASSERTION AND CONSTRAINT METHODS FOR FORMAL DESIGN VERIFICATION

CREATIVE ASSERTION AND CONSTRAINT METHODS FOR FORMAL DESIGN VERIFICATION CREATIVE ASSERTION AND CONSTRAINT METHODS FOR FORMAL DESIGN VERIFICATION Joseph Richards SGI, High Performance Systems Development Mountain View, CA richards@sgi.com Abstract The challenges involved in

More information

Data path verification on cross-domain with formal scoreboard

Data path verification on cross-domain with formal scoreboard Data path verification on cross-domain with formal scoreboard Liu Jun, Intel Mobile Communications, Munich, Germany (jun.b.liut@intel.com) Abstract In today s complex System on Chip (SOC) designs, multiple

More information

Embedded Busses. Large semiconductor. Core vendors. Interconnect IP vendors. STBUS (STMicroelectronics) Many others!

Embedded Busses. Large semiconductor. Core vendors. Interconnect IP vendors. STBUS (STMicroelectronics) Many others! Embedded Busses Large semiconductor ( IBM ) CoreConnect STBUS (STMicroelectronics) Core vendors (. Ltd AMBA (ARM Interconnect IP vendors ( Palmchip ) CoreFrame ( Silicore ) WishBone ( Sonics ) SiliconBackPlane

More information

Design of an Efficient FSM for an Implementation of AMBA AHB in SD Host Controller

Design of an Efficient FSM for an Implementation of AMBA AHB in SD Host Controller Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 4, Issue. 11, November 2015,

More information

Achieving UFS Host Throughput For System Performance

Achieving UFS Host Throughput For System Performance Achieving UFS Host Throughput For System Performance Yifei-Liu CAE Manager, Synopsys Mobile Forum 2013 Copyright 2013 Synopsys Agenda UFS Throughput Considerations to Meet Performance Objectives UFS Host

More information

CS/ECE 5780/6780: Embedded System Design

CS/ECE 5780/6780: Embedded System Design CS/ECE 5780/6780: Embedded System Design John Regehr Lecture 18: Introduction to Verification What is verification? Verification: A process that determines if the design conforms to the specification.

More information

Buses. Maurizio Palesi. Maurizio Palesi 1

Buses. Maurizio Palesi. Maurizio Palesi 1 Buses Maurizio Palesi Maurizio Palesi 1 Introduction Buses are the simplest and most widely used interconnection networks A number of modules is connected via a single shared channel Microcontroller Microcontroller

More information

Qualification of Verification Environments Using Formal Techniques

Qualification of Verification Environments Using Formal Techniques Qualification of Verification Environments Using Formal Techniques Raik Brinkmann DVClub on Verification Qualification April 28 2014 www.onespin-solutions.com Copyright OneSpin Solutions 2014 Copyright

More information

SEMICON Solutions. Bus Structure. Created by: Duong Dang Date: 20 th Oct,2010

SEMICON Solutions. Bus Structure. Created by: Duong Dang Date: 20 th Oct,2010 SEMICON Solutions Bus Structure Created by: Duong Dang Date: 20 th Oct,2010 Introduction Buses are the simplest and most widely used interconnection networks A number of modules is connected via a single

More information

Assertions: Too good to be reserved for verification only.

Assertions: Too good to be reserved for verification only. Assertions: Too good to be reserved for verification only. Written by Brian Bailey Abstract There has been a lot of talk in the industry about the usefulness of assertions as part of a complete verification

More information

Administrivia. ECE/CS 5780/6780: Embedded System Design. Acknowledgements. What is verification?

Administrivia. ECE/CS 5780/6780: Embedded System Design. Acknowledgements. What is verification? Administrivia ECE/CS 5780/6780: Embedded System Design Scott R. Little Lab 8 status report. Set SCIBD = 52; (The Mclk rate is 16 MHz.) Lecture 18: Introduction to Hardware Verification Scott R. Little

More information

Keywords- AMBA, AHB, APB, AHB Master, SOC, Split transaction.

Keywords- AMBA, AHB, APB, AHB Master, SOC, Split transaction. Volume 4, Issue 3, March 2014 ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: www.ijarcsse.com Design of an Efficient

More information

IMPROVES. Initial Investment is Low Compared to SoC Performance and Cost Benefits

IMPROVES. Initial Investment is Low Compared to SoC Performance and Cost Benefits NOC INTERCONNECT IMPROVES SOC ECONO CONOMICS Initial Investment is Low Compared to SoC Performance and Cost Benefits A s systems on chip (SoCs) have interconnect, along with its configuration, verification,

More information

Assertive Verification: A Ten-Minute Primer

Assertive Verification: A Ten-Minute Primer Assertive Verification: A Ten-Minute Primer As published on 8/16/02 in EEDesign.com And Written by Saeed Coates, Paradigm Works, Inc. www.paradigm-works.com Table of Contents 1.1 Introduction: The Verification

More information

Formal for Everyone Challenges in Achievable Multicore Design and Verification. FMCAD 25 Oct 2012 Daryl Stewart

Formal for Everyone Challenges in Achievable Multicore Design and Verification. FMCAD 25 Oct 2012 Daryl Stewart Formal for Everyone Challenges in Achievable Multicore Design and Verification FMCAD 25 Oct 2012 Daryl Stewart 1 ARM is an IP company ARM licenses technology to a network of more than 1000 partner companies

More information

OpenVera Assertions. March Synopsys, Inc.

OpenVera Assertions. March Synopsys, Inc. OpenVera Assertions March 2003 2003 Synopsys, Inc. Introduction The amount of time and manpower that is invested in finding and removing bugs is growing faster than the investment in creating the design.

More information

Debugging Inconclusive Assertions and a Case Study

Debugging Inconclusive Assertions and a Case Study Debugging Inconclusive Assertions and a Case Study by Jin Hou Mentor, A Siemens Business INTRODUCTION Formal assertion-based verification uses formal technologies to analyze if a design satisfies a given

More information

Certitude Functional Qualification with Formal Verification. Jean-Marc Forey November 2012

Certitude Functional Qualification with Formal Verification. Jean-Marc Forey November 2012 Certitude Functional Qualification with Formal Verification Jean-Marc Forey November 2012 Springsoft Proprietary Topics Case study presentation Why Verification Verification efficiency Formal verification

More information

Test and Verification Solutions. ARM Based SOC Design and Verification

Test and Verification Solutions. ARM Based SOC Design and Verification Test and Verification Solutions ARM Based SOC Design and Verification 7 July 2008 1 7 July 2008 14 March 2 Agenda System Verification Challenges ARM SoC DV Methodology ARM SoC Test bench Construction Conclusion

More information

DEVELOPMENT AND VERIFICATION OF AHB2APB BRIDGE PROTOCOL USING UVM TECHNIQUE

DEVELOPMENT AND VERIFICATION OF AHB2APB BRIDGE PROTOCOL USING UVM TECHNIQUE DEVELOPMENT AND VERIFICATION OF AHB2APB BRIDGE PROTOCOL USING UVM TECHNIQUE N.G.N.PRASAD Assistant Professor K.I.E.T College, Korangi Abstract: The AMBA AHB is for high-performance, high clock frequency

More information

Design and Verification of AMBA AHB- Lite protocol using Verilog HDL

Design and Verification of AMBA AHB- Lite protocol using Verilog HDL Design and Verification of AMBA AHB- Lite protocol using Verilog HDL Sravya Kante #1, Hari KishoreKakarla *2, Avinash Yadlapati #3 1, 2 Department of ECE, KL University Green Fields, Vaddeswaram-522502,

More information

DESIGN AND VERIFICATION ANALYSIS OF APB3 PROTOCOL WITH COVERAGE

DESIGN AND VERIFICATION ANALYSIS OF APB3 PROTOCOL WITH COVERAGE DESIGN AND VERIFICATION ANALYSIS OF APB3 PROTOCOL WITH COVERAGE Akhilesh Kumar and Richa Sinha Department of E&C Engineering, NIT Jamshedpur, Jharkhand, India ABSTRACT Today in the era of modern technology

More information

Assertion Based Verification of AMBA-AHB Using System Verilog

Assertion Based Verification of AMBA-AHB Using System Verilog Assertion Based Verification of AMBA-AHB Using System Verilog N.Karthik M.Tech VLSI, CMR Institute of Technology, Kandlakoya Village, Medchal Road, Hyderabad, Telangana 501401. M.Gurunadha Babu Professor

More information

Pragmatic Simulation-Based Verification of Clock Domain Crossing Signals and Jitter using SystemVerilog Assertions

Pragmatic Simulation-Based Verification of Clock Domain Crossing Signals and Jitter using SystemVerilog Assertions Pragmatic Simulation-Based Verification of Clock Domain Crossing Signals and Jitter using SystemVerilog Assertions Mark Litterick (Verification Consultant) mark.litterick@verilab.com 2 Introduction Clock

More information

SPECMAN-E TESTBENCH. Al. GROSU 1 M. CARP 2

SPECMAN-E TESTBENCH. Al. GROSU 1 M. CARP 2 Bulletin of the Transilvania University of Braşov Vol. 11 (60) No. 1-2018 Series I: Engineering Sciences SPECMAN-E TESTBENCH Al. GROSU 1 M. CARP 2 Abstract: The scope of this document is to present a Verification

More information

Introduction to the PCI Interface. Meeta Srivastav

Introduction to the PCI Interface. Meeta Srivastav Introduction to the PCI Interface Meeta Srivastav 4 th March, 2005 Talk layout BUS standards PCI Local Bus PCI protocol Special Cases Electrical and Mechanical Specifications Other Topics 2 Inside a Computer

More information

Focussing Assertion Based Verification Effort for Best Results

Focussing Assertion Based Verification Effort for Best Results Focussing Assertion Based Verification Effort for Best Results Mark Litterick (Verification Consultant) mark.litterick@verilab.com 2 Introduction Project background Overview of ABV including methodology

More information

Practical Approaches to Formal Verification. Mike Bartley, TVS

Practical Approaches to Formal Verification. Mike Bartley, TVS Practical Approaches to Formal Verification Mike Bartley, TVS 1 Acknowledgements This paper is based on work performed by TVS with ARM Specific thanks should go to Laurent Arditi Bryan Dickman Daryl Stuart

More information

The Veloce Emulator and its Use for Verification and System Integration of Complex Multi-node SOC Computing System

The Veloce Emulator and its Use for Verification and System Integration of Complex Multi-node SOC Computing System The Veloce Emulator and its Use for Verification and System Integration of Complex Multi-node SOC Computing System Laurent VUILLEMIN Platform Compile Software Manager Emulation Division Agenda What is

More information

CS 250 VLSI Design Lecture 11 Design Verification

CS 250 VLSI Design Lecture 11 Design Verification CS 250 VLSI Design Lecture 11 Design Verification 2012-9-27 John Wawrzynek Jonathan Bachrach Krste Asanović John Lazzaro TA: Rimas Avizienis www-inst.eecs.berkeley.edu/~cs250/ IBM Power 4 174 Million Transistors

More information

Design And Implementation of Efficient FSM For AHB Master And Arbiter

Design And Implementation of Efficient FSM For AHB Master And Arbiter Design And Implementation of Efficient FSM For AHB Master And Arbiter K. Manikanta Sai Kishore, M.Tech Student, GITAM University, Hyderabad Mr. M. Naresh Kumar, M. Tech (JNTUK), Assistant Professor, GITAM

More information

IP Core Design. Lecture 10 Property/Assertion-Based Verification

IP Core Design. Lecture 10 Property/Assertion-Based Verification IP Core Design Lecture 10 Property/Assertion-Based Verification Juinn-Dar Huang, Ph.D. Assistant Professor jdhuang@mail.nctu.edu.tw October 2004 1 Outline Verification challenge Introduction to PBV Property

More information

FSM -Based Transaction-Level Functional Coverage

FSM -Based Transaction-Level Functional Coverage FSM -Based Transaction-Level Functional Coverage Man-Yun Su, Che-Hua Shih, Juinn-Dar Huang, and Jing-Yang Jou Department of Electronics Engineering, National Chiao Tung University, Hsinchu, Taiwan Outline

More information

White Paper AHB to Avalon & Avalon to AHB Bridges

White Paper AHB to Avalon & Avalon to AHB Bridges White Paper AHB to & to AHB s Introduction For years, system designers have been manually connecting IP peripheral functions to embedded processors, taking anywhere from weeks to months to accomplish.

More information

VERIFICATION OF AHB PROTOCOL USING SYSTEM VERILOG ASSERTIONS

VERIFICATION OF AHB PROTOCOL USING SYSTEM VERILOG ASSERTIONS VERIFICATION OF AHB PROTOCOL USING SYSTEM VERILOG ASSERTIONS Nikhil B. Gaikwad 1, Vijay N. Patil 2 1 P.G. Student, Electronics & Telecommunication Department, Pimpri Chinchwad College of Engineering, Pune,

More information

William Stallings Computer Organization and Architecture 10 th Edition Pearson Education, Inc., Hoboken, NJ. All rights reserved.

William Stallings Computer Organization and Architecture 10 th Edition Pearson Education, Inc., Hoboken, NJ. All rights reserved. + William Stallings Computer Organization and Architecture 10 th Edition 2016 Pearson Education, Inc., Hoboken, NJ. All rights reserved. 2 + Chapter 3 A Top-Level View of Computer Function and Interconnection

More information

Modeling Performance Use Cases with Traffic Profiles Over ARM AMBA Interfaces

Modeling Performance Use Cases with Traffic Profiles Over ARM AMBA Interfaces Modeling Performance Use Cases with Traffic Profiles Over ARM AMBA Interfaces Li Chen, Staff AE Cadence China Agenda Performance Challenges Current Approaches Traffic Profiles Intro Traffic Profiles Implementation

More information

Bulletproofing FSM Verification Automated Approach to Detect Corner Case Issues in an FSM Design

Bulletproofing FSM Verification Automated Approach to Detect Corner Case Issues in an FSM Design Bulletproofing FSM Verification Automated Approach to Detect Corner Case Issues in an FSM Design Lisa Piper Technical Marketing Real Intent Inc., Sunnyvale, CA Comprehensive verification of Finite State

More information

Using Abstractions for Effective Formal: Lessons from the Trenches

Using Abstractions for Effective Formal: Lessons from the Trenches Using Abstractions for Effective Formal: Lessons from the Trenches Ashish Darbari, Iain Singleton, Neil Dunlop, Alex Netterville Advanced Verification Methodology Group Imagination Technologies www.imgtec.com

More information

Universal Serial Bus Host Interface on an FPGA

Universal Serial Bus Host Interface on an FPGA Universal Serial Bus Host Interface on an FPGA Application Note For many years, designers have yearned for a general-purpose, high-performance serial communication protocol. The RS-232 and its derivatives

More information

DesignCon AMBA Compliance Checking Using Static Functional Verification

DesignCon AMBA Compliance Checking Using Static Functional Verification DesignCon 2005 AMBA Compliance Checking Using Static Functional Verification Adrian J. Isles, Averant, Inc. aisles@averant.com Jeremy Sonander, Saros Technology UK jeremy@saros.co.uk Mike Turpin, ARM UK

More information

08 - Address Generator Unit (AGU)

08 - Address Generator Unit (AGU) October 2, 2014 Todays lecture Memory subsystem Address Generator Unit (AGU) Schedule change A new lecture has been entered into the schedule (to compensate for the lost lecture last week) Memory subsystem

More information

Responding to TAT Improvement Challenge through Testbench Configurability and Re-use

Responding to TAT Improvement Challenge through Testbench Configurability and Re-use Responding to TAT Improvement Challenge through Testbench Configurability and Re-use Akhila M, Kartik Jain, Renuka Devi, Mukesh Bhartiya Accellera Systems Initiative 1 Motivation Agenda Generic AMBA based

More information

Place Your Logo Here. K. Charles Janac

Place Your Logo Here. K. Charles Janac Place Your Logo Here K. Charles Janac President and CEO Arteris is the Leading Network on Chip IP Provider Multiple Traffic Classes Low Low cost cost Control Control CPU DSP DMA Multiple Interconnect Types

More information

SoC Design Lecture 11: SoC Bus Architectures. Shaahin Hessabi Department of Computer Engineering Sharif University of Technology

SoC Design Lecture 11: SoC Bus Architectures. Shaahin Hessabi Department of Computer Engineering Sharif University of Technology SoC Design Lecture 11: SoC Bus Architectures Shaahin Hessabi Department of Computer Engineering Sharif University of Technology On-Chip bus topologies Shared bus: Several masters and slaves connected to

More information

Formal Verification Adoption. Mike Bartley TVS, Founder and CEO

Formal Verification Adoption. Mike Bartley TVS, Founder and CEO Formal Verification Adoption Mike Bartley TVS, Founder and CEO Agenda Some background on your speaker Formal Verification An introduction Basic examples A FIFO example Adoption Copyright TVS Limited Private

More information

7.3.3 Same Inputs in Antecedent and Consequent

7.3.3 Same Inputs in Antecedent and Consequent Formal Verification Using Assertions 249 There are some special scenarios in which the user may want to intentionally toggle the reset signal during a session. This may be needed to check conditions such

More information

With design complexity increasing significantly

With design complexity increasing significantly With design complexity increasing significantly over the years, the verification of asynchronous designs has become one of the biggest challenges in modern systems-on-a-chip (SoCs). Functional simulation,

More information

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Hardware Design Environments Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Outline Welcome to COE 405 Digital System Design Design Domains and Levels of Abstractions Synthesis

More information

Addressing Verification Bottlenecks of Fully Synthesized Processor Cores using Equivalence Checkers

Addressing Verification Bottlenecks of Fully Synthesized Processor Cores using Equivalence Checkers Addressing Verification Bottlenecks of Fully Synthesized Processor Cores using Equivalence Checkers Subash Chandar G (g-chandar1@ti.com), Vaideeswaran S (vaidee@ti.com) DSP Design, Texas Instruments India

More information

Asynchronous on-chip Communication: Explorations on the Intel PXA27x Peripheral Bus

Asynchronous on-chip Communication: Explorations on the Intel PXA27x Peripheral Bus Asynchronous on-chip Communication: Explorations on the Intel PXA27x Peripheral Bus Andrew M. Scott, Mark E. Schuelein, Marly Roncken, Jin-Jer Hwan John Bainbridge, John R. Mawer, David L. Jackson, Andrew

More information

Reset and Initialization, the Good, the Bad and the Ugly

Reset and Initialization, the Good, the Bad and the Ugly Reset and Initialization, the, the and the Ugly Ping Yeung Design & Verification Technology Mentor Graphics, Fremont, U.S.A. Kaowen Liu Design Technology Division MediaTek Inc, San Jose, U.S.A. Abstract-

More information

OCB-Based SoC Integration

OCB-Based SoC Integration The Present and The Future 黃俊達助理教授 Juinn-Dar Huang, Assistant Professor March 11, 2005 jdhuang@mail.nctu.edu.tw Department of Electronics Engineering National Chiao Tung University 1 Outlines Present Why

More information

Fujitsu SOC Fujitsu Microelectronics America, Inc.

Fujitsu SOC Fujitsu Microelectronics America, Inc. Fujitsu SOC 1 Overview Fujitsu SOC The Fujitsu Advantage Fujitsu Solution Platform IPWare Library Example of SOC Engagement Model Methodology and Tools 2 SDRAM Raptor AHB IP Controller Flas h DM A Controller

More information

Digital VLSI Design with Verilog

Digital VLSI Design with Verilog John Williams Digital VLSI Design with Verilog A Textbook from Silicon Valley Technical Institute Foreword by Don Thomas Sprin ger Contents Introduction xix 1 Course Description xix 2 Using this Book xx

More information

Ref: AMBA Specification Rev. 2.0

Ref: AMBA Specification Rev. 2.0 AMBA Ref: AMBA Specification Rev. 2.0 1 Outline Overview AHB APB Test methodology SoC Design Lab Shao-Yi Chien 2 Outline Overview AHB APB Test methodology SoC Design Lab Shao-Yi Chien 3 BUS Brief In a

More information

Course Profile Assertions in UVM

Course Profile Assertions in UVM Course Profile Assertions in UVM I. CONTENTS 1. Assertions in UVM Flow (ABV UVM)... 2 2. Class Details:... 3 3. Trainers Profiles... 3 a. Srinivasan Venkataramanan, cto... 3 b. Ajeetha Kumari, ceo AND

More information

EECS 4340: Computer Hardware Design Unit 4: Validation

EECS 4340: Computer Hardware Design Unit 4: Validation EECS 4340: Unit 4: Validation Prof. Simha Sethumadhavan Reference Book: System Verilog for Verification Agenda Last Unit Design abstractions Basic primitives This Unit Validation Forthcoming Design Tips

More information

World Class Verilog & SystemVerilog Training

World Class Verilog & SystemVerilog Training World Class Verilog & SystemVerilog Training Sunburst Design - Expert Verilog-2001 FSM, Multi-Clock Design & Verification Techniques by Recognized Verilog & SystemVerilog Guru, Cliff Cummings of Sunburst

More information

Mixed Signal Verification Transistor to SoC

Mixed Signal Verification Transistor to SoC Mixed Signal Verification Transistor to SoC Martin Vlach Chief Technologist AMS July 2014 Agenda AMS Verification Landscape Verification vs. Design Issues in AMS Verification Modeling Summary 2 AMS VERIFICATION

More information

UNIVERSAL VERIFICATION METHODOLOGY BASED VERIFICATION ENVIRONMENT FOR PCIE DATA LINK LAYER

UNIVERSAL VERIFICATION METHODOLOGY BASED VERIFICATION ENVIRONMENT FOR PCIE DATA LINK LAYER UNIVERSAL VERIFICATION METHODOLOGY BASED VERIFICATION ENVIRONMENT FOR PCIE DATA LINK LAYER Dr.T.C.Thanuja [1], Akshata [2] Professor, Dept. of VLSI Design & Embedded systems, VTU, Belagavi, Karnataka,

More information

ESE Back End 2.0. D. Gajski, S. Abdi. (with contributions from H. Cho, D. Shin, A. Gerstlauer)

ESE Back End 2.0. D. Gajski, S. Abdi. (with contributions from H. Cho, D. Shin, A. Gerstlauer) ESE Back End 2.0 D. Gajski, S. Abdi (with contributions from H. Cho, D. Shin, A. Gerstlauer) Center for Embedded Computer Systems University of California, Irvine http://www.cecs.uci.edu 1 Technology advantages

More information

VERIFICATION ANALYSIS OF AHB-LITE PROTOCOL WITH COVERAGE

VERIFICATION ANALYSIS OF AHB-LITE PROTOCOL WITH COVERAGE VERIFICATION ANALYSIS OF AHB-LITE PROTOCOL WITH COVERAGE Richa Sinha 1, Akhilesh Kumar 2 and Archana Kumari Sinha 3 1&2 Department of E&C Engineering, NIT Jamshedpur, Jharkhand, India 3 Department of Physics,

More information

The SystemC Verification Standard (SCV) Stuart Swan Senior Architect Cadence Design Systems, Inc.

The SystemC Verification Standard (SCV) Stuart Swan Senior Architect Cadence Design Systems, Inc. The SystemC Verification Standard (SCV) Stuart Swan Senior Architect Cadence Design Systems, Inc. stuart@cadence.com The Verification Problem System Level Verification is typically done last, is typically

More information

Design of an AMBA AHB Reconfigurable Arbiter for On-chip Bus Architecture

Design of an AMBA AHB Reconfigurable Arbiter for On-chip Bus Architecture Design of an AMBA AHB Reconfigurable Arbiter for On-chip Bus Architecture Pravin S. Shete 1, Dr. Shruti Oza 2 1 Research Fellow, Electronics Department, BVDU College of Engineering, Pune, India. 2 Department

More information

Applying the Benefits of Network on a Chip Architecture to FPGA System Design

Applying the Benefits of Network on a Chip Architecture to FPGA System Design white paper Intel FPGA Applying the Benefits of on a Chip Architecture to FPGA System Design Authors Kent Orthner Senior Manager, Software and IP Intel Corporation Table of Contents Abstract...1 Introduction...1

More information

Using VCS with White-Box Verification Techniques

Using VCS with White-Box Verification Techniques Thomas L. Anderson 0-In Design Automation, Inc. ABSTRACT Because of its simulation efficiency, VCS is an excellent tool for traditional "black-box" verification, in which a testbench stimulates inputs

More information

NVMe performance optimization and stress testing

NVMe performance optimization and stress testing NVMe performance optimization and stress testing Isaac Livny Teledyne Corporation Santa Clara, CA August 2017 1 Agenda NVMe / NVMoF transfer overview PCIe perforamce analysis NVMoF over CNA example NVMe

More information

PCI Express Basic Demo Verilog Source Code User s Guide

PCI Express Basic Demo Verilog Source Code User s Guide Verilog Source Code User s Guide December 2010 UG15_01.3 Introduction This user s guide provides details of the Verilog code used for the Lattice. A block diagram of the entire design is provided followed

More information

ADVANCED DIGITAL IC DESIGN. Digital Verification Basic Concepts

ADVANCED DIGITAL IC DESIGN. Digital Verification Basic Concepts 1 ADVANCED DIGITAL IC DESIGN (SESSION 6) Digital Verification Basic Concepts Need for Verification 2 Exponential increase in the complexity of ASIC implies need for sophisticated verification methods to

More information

Design & Implementation of AHB Interface for SOC Application

Design & Implementation of AHB Interface for SOC Application Design & Implementation of AHB Interface for SOC Application Sangeeta Mangal M. Tech. Scholar Department of Electronics & Communication Pacific University, Udaipur (India) enggsangeetajain@gmail.com Nakul

More information

Glossary. AHDL A Hardware Description Language, such as Verilog-A, SpectreHDL, or VHDL-A, used to describe analog designs.

Glossary. AHDL A Hardware Description Language, such as Verilog-A, SpectreHDL, or VHDL-A, used to describe analog designs. Glossary ADC, A/D Analog-to-Digital Converter. AHDL A Hardware Description Language, such as Verilog-A, SpectreHDL, or VHDL-A, used to describe analog designs. AMBA Advanced Microcontroller Bus Architecture.

More information

Lattice PCI Express x4 Scatter-Gather DMA Demo Verilog Source Code User s Guide

Lattice PCI Express x4 Scatter-Gather DMA Demo Verilog Source Code User s Guide DMA Demo Verilog Source Code User s Guide January 2008 UG06_01.0 Introduction This user s guide provides details of the Verilog code used for the DMA Demo. A block diagram of the entire design is provided

More information

Design Guidelines for Formal Verification

Design Guidelines for Formal Verification Design Guidelines for Formal Verification Anamaya Sullerey Juniper Networks 2530 Meridian Parkway Durham, NC 27713 Abstract-Improvement in capacity and usability of EDA tools has helped in pushing the

More information

NetSpeed ORION: A New Approach to Design On-chip Interconnects. August 26 th, 2013

NetSpeed ORION: A New Approach to Design On-chip Interconnects. August 26 th, 2013 NetSpeed ORION: A New Approach to Design On-chip Interconnects August 26 th, 2013 INTERCONNECTS BECOMING INCREASINGLY IMPORTANT Growing number of IP cores Average SoCs today have 100+ IPs Mixing and matching

More information

Embedded Systems 1: On Chip Bus

Embedded Systems 1: On Chip Bus October 2016 Embedded Systems 1: On Chip Bus Davide Zoni PhD email: davide.zoni@polimi.it webpage: home.deib.polimi.it/zoni Additional Material and Reference Book 2 Reference Book Chapter Principles and

More information

ASIC world. Start Specification Design Verification Layout Validation Finish

ASIC world. Start Specification Design Verification Layout Validation Finish AMS Verification Agenda ASIC world ASIC Industrial Facts Why Verification? Verification Overview Functional Verification Formal Verification Analog Verification Mixed-Signal Verification DFT Verification

More information

(Advanced) Computer Organization & Architechture. Prof. Dr. Hasan Hüseyin BALIK (3 rd Week)

(Advanced) Computer Organization & Architechture. Prof. Dr. Hasan Hüseyin BALIK (3 rd Week) + (Advanced) Computer Organization & Architechture Prof. Dr. Hasan Hüseyin BALIK (3 rd Week) + Outline 2. The computer system 2.1 A Top-Level View of Computer Function and Interconnection 2.2 Cache Memory

More information

Post processing techniques to accelerate assertion development Ajay Sharma

Post processing techniques to accelerate assertion development Ajay Sharma Post processing techniques to accelerate assertion development Ajay Sharma 2014 Synopsys, Inc. All rights reserved. 1 Agenda Introduction to Assertions Traditional flow for using ABV in Simulations/Emulation/Prototyping

More information

Contents 1 Introduction 2 Functional Verification: Challenges and Solutions 3 SystemVerilog Paradigm 4 UVM (Universal Verification Methodology)

Contents 1 Introduction 2 Functional Verification: Challenges and Solutions 3 SystemVerilog Paradigm 4 UVM (Universal Verification Methodology) 1 Introduction............................................... 1 1.1 Functional Design Verification: Current State of Affair......... 2 1.2 Where Are the Bugs?.................................... 3 2 Functional

More information

Bullet-Proofing PCIe in Enterprise Storage SoCs with RAS features

Bullet-Proofing PCIe in Enterprise Storage SoCs with RAS features Bullet-Proofing PCIe in Enterprise Storage SoCs with RAS features Michael Fernandez, Sr. FAE, PLDA Agenda What is RAS(M)? PCIe RAS features What s in the Spec. and what s not Limitations Case studies Problem

More information

SONICS, INC. Sonics SOC Integration Architecture. Drew Wingard. (Systems-ON-ICS)

SONICS, INC. Sonics SOC Integration Architecture. Drew Wingard. (Systems-ON-ICS) Sonics SOC Integration Architecture Drew Wingard 2440 West El Camino Real, Suite 620 Mountain View, California 94040 650-938-2500 Fax 650-938-2577 http://www.sonicsinc.com (Systems-ON-ICS) Overview 10

More information

INT 1011 TCP Offload Engine (Full Offload)

INT 1011 TCP Offload Engine (Full Offload) INT 1011 TCP Offload Engine (Full Offload) Product brief, features and benefits summary Provides lowest Latency and highest bandwidth. Highly customizable hardware IP block. Easily portable to ASIC flow,

More information

The CoreConnect Bus Architecture

The CoreConnect Bus Architecture The CoreConnect Bus Architecture Recent advances in silicon densities now allow for the integration of numerous functions onto a single silicon chip. With this increased density, peripherals formerly attached

More information

Efficient Failure Triage with Automated Debug: a Case Study by Sean Safarpour, Evean Qin, and Mustafa Abbas, Vennsa Technologies Inc.

Efficient Failure Triage with Automated Debug: a Case Study by Sean Safarpour, Evean Qin, and Mustafa Abbas, Vennsa Technologies Inc. Efficient Failure Triage with Automated Debug: a Case Study by Sean Safarpour, Evean Qin, and Mustafa Abbas, Vennsa Technologies Inc. Functional debug is a dreadful yet necessary part of today s verification

More information

System-On-Chip Design with the Leon CPU The SOCKS Hardware/Software Environment

System-On-Chip Design with the Leon CPU The SOCKS Hardware/Software Environment System-On-Chip Design with the Leon CPU The SOCKS Hardware/Software Environment Introduction Digital systems typically contain both, software programmable components, as well as application specific logic.

More information

AMBA AHB Bus Protocol Checker

AMBA AHB Bus Protocol Checker AMBA AHB Bus Protocol Checker 1 Sidhartha Velpula, student, ECE Department, KL University, India, 2 Vivek Obilineni, student, ECE Department, KL University, India 3 Syed Inthiyaz, Asst.Professor, ECE Department,

More information

Bus Interfaces and Standards. Zeljko Zilic

Bus Interfaces and Standards. Zeljko Zilic Bus Interfaces and Standards Zeljko Zilic Overview Principles of Digital System Interconnect Modern bus Standards: PCI, AMBA, USB Scalable Interconnect: Infiniband Intellectual Property (IP) Reuse Reusable

More information

ISSN Vol.03, Issue.08, October-2015, Pages:

ISSN Vol.03, Issue.08, October-2015, Pages: ISSN 2322-0929 Vol.03, Issue.08, October-2015, Pages:1284-1288 www.ijvdcs.org An Overview of Advance Microcontroller Bus Architecture Relate on AHB Bridge K. VAMSI KRISHNA 1, K.AMARENDRA PRASAD 2 1 Research

More information

Verification of Power Management Protocols through Abstract Functional Modeling

Verification of Power Management Protocols through Abstract Functional Modeling Verification of Power Management Protocols through Abstract Functional Modeling G. Kamhi, T. Levy, Niranjan M, M. Mhameed, H. Rawlani, R. B. Rajput, E. Singerman, V. Vedula, Y. Zbar Motivation Microprocessor

More information

EECS 373 Design of Microprocessor-Based Systems

EECS 373 Design of Microprocessor-Based Systems EECS 373 Design of Microprocessor-Based Systems Prabal Dutta University of Michigan Lecture 6: AHB-Lite, Interrupts (1) September 18, 2014 Slides"developed"in"part"by"Mark"Brehob" 1" Today" Announcements"

More information

Title: Using Test-IP Based Verification Techniques in a UVM Environment

Title: Using Test-IP Based Verification Techniques in a UVM Environment Title: Using Test-IP Based Verification Techniques in a UVM Environment Vidya Bellippady Sundar Haran Jay O Donnell Microsemi Corporation Microsemi Corporation Mentor Graphics San Jose, CA Hyderabad, India

More information

Chap 4 Connecting the Testbench and. Design. Interfaces Clocking blocks Program blocks The end of simulation Top level scope Assertions

Chap 4 Connecting the Testbench and. Design. Interfaces Clocking blocks Program blocks The end of simulation Top level scope Assertions Chap 4 Connecting the Testbench and Interfaces Clocking blocks Program blocks The end of simulation Top level scope Assertions Design 1 4 Connecting the Testbench and Design Testbench wraps around the

More information

Using formal techniques to Debug the AMBA System-on-Chip Bus Protocol

Using formal techniques to Debug the AMBA System-on-Chip Bus Protocol Using formal techniques to Debug the AMBA System-on-Chip Bus Protocol Abhik Roychoudhury Tulika Mitra S.R. Karri School of Computing National University of Singapore Singapore 117543 {abhik,tulika,karrisid}@comp.nus.edu.sg

More information

PCI Express Throughput Demo Verilog Source Code User s Guide

PCI Express Throughput Demo Verilog Source Code User s Guide Verilog Source Code User s Guide December 2009 UG07_01.4 Introduction This user s guide provides details of the Verilog code used for the Lattice PCI Express SFIF Demo (also known as the Throughput Demo).

More information