Modeling Performance Use Cases with Traffic Profiles Over ARM AMBA Interfaces

Size: px
Start display at page:

Download "Modeling Performance Use Cases with Traffic Profiles Over ARM AMBA Interfaces"

Transcription

1 Modeling Performance Use Cases with Traffic Profiles Over ARM AMBA Interfaces Li Chen, Staff AE Cadence China

2 Agenda Performance Challenges Current Approaches Traffic Profiles Intro Traffic Profiles Implementation Real Life Example an ARM ARMv8-A Mobile SoC Real Life Use Case Video Playback from Flash Summary Q&A

3 Performance challenges Today s large heterogeneous SoCs present a range of competing and conflicting performance goals which can be appreciated by reviewing the key peripherals Multi-core compute subsystems Powerful graphics engines Latest wireless and wired communications protocols High-resolution display drivers Interconnects and memory controllers are highly configurable Buffer depths, acceptance capabilities, issuing capabilities, interface data widths and programmable quality of service (QoS), arbitration mechanism,... to meet the performance challenges The real challenge is to ensure all the performance goals are met without over design which would result in area and power challenges

4 CoreLink NIC-400 Performance challenges IP IP CoreLink NIC-400 IP IP IP CoreLink NIC-400 DMA LCD PCIe RC CoreLink NIC-400 System Control Processor ARMv8-A Mobile Example System What is the latency of the processor clusters to memory paths including all async bridges? What is the bandwidth of the paths from IP with high bandwidth demands to memory? What is the bandwidth and latency of the paths from real-time IP to memory? #1 #3 #4 ARM Cortex- A53 Cluster L2 Cache CoreLink GIC-400 #2 #1 #3 #4 ARM Cortex- A57 Cluster L2 Cache Customer GPU ADB ADB ADB #2 Cache-Coherent Interconnect Customer DMA ADB ADB ADB DVFS CLK/PSO Domain CLK/PSO Domain Coherent Masters Non-Coherent Masters Timers ADB ADB ADB ADB On-Chip ROM F3 F2 F1 F0 CoreLink TZC-400 CoreLink NIC-400 (2x1) ADB SRAM Video SRAM UART Customer DDR Controller IP

5 Current approaches SoC architects and designers utilize many tools and techniques to optimize performance: Rule-of-thumb spreadsheets Very early analysis of SoC performance requirements, based on experience and previous similar SoCs Good guideline for SoC architecture, but cannot be relied on for hardware results SystemC Modelling Exploration model of the RTL system to support early software integration Initial performance analysis possible Typically +/-20% due to model timing inaccuracies Due to missing cycle accurate FIFO models, cache models and memory models result in inaccuracies SoC level RTL simulations with IP blocks and preliminary software Cycle accurate with typical traffic loads produced by actual IP blocks and bare metal software Very accurate performance results but only available very late in the development cycle Lets look at additional options by reviewing a stepped performance methodology

6 Performance Characterization Static Workloads Application Specific Use Cases Performance methodology Path-by-path traffic measuring the performance envelope Targeting: Integration bugs (clock freq, buffer sizes, bridge conf.) Analyze: Latency critical paths Bandwidth critical paths Master-by-master modeled use-cases mimicking realistic loads Targeting: Impact on bandwidth when running multi-master traffic, QoS tuning Analyze: DDR efficiency & measured bandwidth Masters QoS contract met (or not) Software-driven use-cases Targeting: Application performance corner cases Analyze: Master requested bandwidth DDR efficiency & measured bandwidth

7 Static workload requirements The need to implement static workloads that realistically model the behavior of IP blocks in a complex SoC is a key driver for the introduction of Traffic Profiles

8 Simplifying system modeling Latency Sensitive High Bandwidth Real Time Replace complex IP with simple, representative traffic CPU CPU GPU PCIe Display Latency Traffic Latency Traffic BW Traffic BW Traffic RT Traffic CoreLink Interconnect CoreLink Interconnect CoreLink Dynamic Memory Controller CoreLink Dynamic Memory Controller DRAM DRAM

9 Abstracting complex IP with Traffic Profiles Example How to model the ARM Cortex-A73? Vary 1, 2 or 4 CPUs Vary L1 and L2 cache sizes (up to 8MB) Multi-core initializing and warming cache takes significant time before settling For system modeling, only need traffic pattern on the interface

10 The need for standardization Define a simple, standard method of representing complex IP traffic Dynamic behavior and concurrency Latency Traffic Latency Traffic BW Traffic BW Traffic RT Traffic Rules checks over standard AMBA interfaces Bandwidth and transaction checking Portable to multiple simulation environments and across different IP options Transaction modeling, RTL emulation, FPGA prototyping CoreLink Interconnect CoreLink Dynamic Memory Controller DRAM

11 Traffic Profiles basics Define complex traffic vectors by combining simple traffic profiles This can be done sequentially or concurrently Each profile can drive either read or write bursts Each profile s behaviour is modelled using a FIFO like mechanism A small set of primary parameters defines the behaviour of each FIFO Secondary parameters added for fine control

12 FIFO-based traffic flow control Fill behaviour This side of the FIFO is the AMBA interface. Requests are issued and data returned. This side of the FIFO is inside the component. Data is consumed at a fixed rate. Addr Memory Data

13 FIFO-based traffic flow control Drain behaviour This side of the FIFO is the AMBA interface. Requests are issued and data returned. This side of the FIFO is inside the component. Data is consumed at a fixed rate. Memory

14 FIFO-based traffic flow control Parameters LimTxn Limit of Transactions issued. The maximum number of outstanding transactions. Addr Addr Addr Full Size of FIFO in bytes Rate Rate that data is consumed in bytes per cycle TxnSize Transaction Size. The number of bytes requested by a single transaction request. (Typically 64 bytes) Addr Data Data Data DataSize Data Size. The number of bytes returned in one data beat. (Same as bus width) Start FIFO can start full or empty Memory Data

15 Traffic Profiles implementation Overview Cadence have created a UVM implementation, targeting RTL simulation There can be other implementations based on the same parameters targeting SystemC simulations, RTL emulation, FPGA prototyping etc. Implementation architecture: Each traffic profile is implemented as a protocol agnostic, UVM virtual sequence The FIFO mechanism is implemented within the sequence Layered on top of protocol specific sequences Delivered along with Cadence Verification IP for AMBA protocol As each profile is a sequence, multiple profiles can run concurrently Each profile is either read or write

16 Optional Automated TB Active Master monitor Active Master monitor Clocks fifo fifo fifo fifo fifo TP User Test Traffic Profiles implementation - Diagram User writes test sequences and test virtual sequences to co-ordinate the traffic across multiple interfaces Virtual sequence Test sequence Test sequence Virtual sequencer The fill and drain logic is implemented as a virtual FIFO managing the traffic on the interface to satisfy the requested bandwidth seq seq seq fill fill fill drain drain drain adaptor seq seq fill fill drain drain adaptor The sequence item includes all the Traffic Profile transaction fields sequencer driver sequencer driver Traffic Profiles are implemented as a layered virtual sequence over AMBA protocol sequences Clocks ingress1 DUT ingress2 TB

17 Usage example Concurrent profiles Group 1 M1 Group 2 M1 Group 3 M1 cdn_tp(p1c, M1) cdn_tp(p1b, M1) cdn_tp(p1a, M1) cdn_tp(p2b, M1) cdn_tp(p2a, M1) cdn_tp(p3c, M1) cdn_tp(p3b, M1) cdn_tp(p3a, M1) Group 1 M2 Group 2 M2 cdn_tp(p1c, M2) cdn_tp(p1b, M2) cdn_tp(p1a, M2) cdn_tp(p2b, M2) cdn_tp(p2a, M2) AHB M1 AXI M2

18 Usage example Concurrent profiles Group 1 M1 Group 2 M1 Group 3 M1 cdn_tp(p1c, M1) cdn_tp(p1b, M1) cdn_tp(p1a, M1) AHB M1 Group 1 M2 cdn_tp(p1c, M2) cdn_tp(p1b, M2) cdn_tp(p1a, M2) cdn_tp(p2b, M1) cdn_tp(p2a, M1) Group 2 M2 cdn_tp(p2b, M2) cdn_tp(p2a, M2) AXI M2 fork : Group1 begin fork cdn_tp(p3c, M1) begin `uvm_do_with( {profile1a} cdn_tp(p3b, M1) end cdn_tp(p3a, M1) begin `uvm_do_with( {profile1b} end begin `uvm_do_with( {profile1c} end end begin Group1_exit_event end join any fork : Group2

19 ACE Traffic Profiles sequence example `uvm_do_with(ace_tp_vseq, {ace_tp_vseq.agentid == agent_id; ace_tp_vseq.direction == TP_READ; ace_tp_vseq.limtxn == 3; ace_tp_vseq.addresspattern == TP_SEQUENTIAL; ace_tp_vseq.startaddress == start_address_p1; ace_tp_vseq.endaddress == end_address_p1; ace_tp_vseq.domain == att_indx_p1; ace_tp_vseq.txnsize == 64; ace_tp_vseq.datasize == 4; ace_tp_vseq.rate == 120.0/(150.0); // requestedbandwidth / Frequency ace_tp_vseq.start == TP_EMPTY; ace_tp_vseq.full == 128; ace_tp_vseq.numoftransactions == 500; ace_tp_vseq.artv == 2; ace_tp_vseq.rbr == 3;} );

20 ACE Traffic Profiles sequence example `uvm_do_with(ace_tp_vseq, {ace_tp_vseq.agentid == agent_id; ace_tp_vseq.direction == TP_READ; ace_tp_vseq.limtxn == 3; ace_tp_vseq.addresspattern == TP_SEQUENTIAL; ace_tp_vseq.startaddress == start_address_p1; ace_tp_vseq.endaddress == end_address_p1; ace_tp_vseq.domain == att_indx_p1; ace_tp_vseq.txnsize == 64; ace_tp_vseq.datasize == 4; ace_tp_vseq.rate == 120.0/(150.0); // requestedbandwidth / Frequency ace_tp_vseq.start == TP_EMPTY; ace_tp_vseq.full == 128; ace_tp_vseq.numoftransactions == 500; ace_tp_vseq.artv == 2; ace_tp_vseq.rbr == 3;} );

21 Real-life example an ARM ARMv8-A mobile SoC A typical ARMv8-A based mobile subsystem design presents designers and architects with a significant performance optimization challenge. We chose such an SoC as the vehicle for demonstrating Traffic Profiles ARM provides Reference Data and document specific use-case tests to describe the performance capabilities of such a mobile subsystem Cadence tools are used to create the required UVM testbench and implement one of these use cases before analyzing the results

22 ARMv8-A mobile example CPU subsystem Cortex-A73 GIC-500 I/O Coherent Masters NIC-400 Snoop Filter * Cortex-A53 MMU-500 CoreLink TM CCI-550 Mali TM -G71 GPU * Mali- V550 Video NIC-400 Mali DP650 Display MMU-500 SCP Peripherals * Configurable options CoreSight TM DMC-500 PHY LPDDR4 Memory System PHY LPDDR4 PHY LPDDR4 *3 rd Party DMC option DMC-500 DMC-500 DMC-500 PHY LPDDR4

23 Virtual Sequencer Routing Model IVD Testbench implementation and architecture Automated Testbench Traffic Profile TP Aggressive Passive User Integration Testbench Cadence AMBA Library SoC Metadata Cadence Interconnect Workbench Automation TP TP TP TP TP TP TP TP Cortex-A73 GIC-500 Snoop Filter * Cortex-A53 I/O Coherent Masters NIC-400 MMU-500 CoreLink TM CCI-550 Mali TM -G71 GPU * Mali- V550 Video NIC-400 Mali DP650 Display MMU-500 SCP Peripherals * Configurable options CoreSight TM DMC-500 PHY LPDDR4 Memory System DMC-500 DMC-500 DMC-500 PHY LPDDR4 PHY LPDDR4 *3 rd Party DMC option PHY LPDDR4 User Verilog Testbench Tests UVM Testbench

24 Use-case definition Camcorder Use-case definition: Camcorder rear camera with local display preview Rear camera video (3840x2160p60 YUV4:2:0 ) HEVC encode (3840x2160p60) Local display non-rotated (3840x2160p60) Which translates to the following interface bandwidth requirements: Using the Traffic Profile s sequence layer with the testbench s virtual sequence, it s possible to create a static workload testcase Interface Read Requested BW Write Requested BW Total Requested BW Big CPU 1488MB/s 200MB/s 1688MB/s Little CPU 320MB/s 320MB/s 640MB/s Display Controller 3564MB/s 0MB/s 3564MB/s Video Codec 2432MB/s 1214MB/s 3646MB/s Non-coherent Expansion Port 0MB/s 1188MB/s 1188MB/s Coherent Expansion Port 10MB/s 10MB/s 20MB/s Total 6326MB/s 2732MB/s 9058MB/s

25 Use-case implementation with Traffic Profiles The traffic profile sequence for each initiator is done within its own thread fork `uvm_do (big_cpu_read_traffic) `uvm_do (big_cpu_write_traffic) `uvm_do (little_cpu_read_traffic) `uvm_do (little_cpu_write_traffic) `uvm_do (display_controller_read_traffic) `uvm_do (video_codec_read_traffic) `uvm_do (video_codec_write_traffic) `uvm_do (isp_write_traffic) `uvm_do (flash_controller_read_traffic) `uvm_do (flash_controller_write_traffic) join_any Fork statement ensures each profile runs in its own thread Read and Write traffic sequences as required by the use case join_any all sequences are cleanly terminated when the first (fastest) completes other join s can be used to create different behaviour

26 Use-case implementation - with Traffic Profiles Now, to look at the key constraint for one of the Traffic Profiles `uvm_do_with(ace_atp_vseq, { ace_atp_vseq.agentid == p_sequencer.get_agent_id( little_cpu ); }); ace_atp_vseq.numoftransactions == 10000; ace_atp_vseq.atpdirection == ATP_READ; ace_atp_vseq.rate == 320.0/(1600.0); ace_atp_vseq.transactionsize == 64; ace_atp_vseq.datasize == 16; ace_atp_vseq.addresspattern == ATP_RANDOM; ace_atp_vseq.addressalignment == 64; ace_atp_vseq.startaddress == start_address; ace_atp_vseq.idpattern == ATP_ID_CYCLE; ace_atp_vseq.endaddress == end_address; ace_atp_vseq.lowerid == 0; ace_atp_vseq.upperid == 31; ace_atp_vseq.start == ATP_EMPTY; ace_atp_vseq.full == 2048; ace_atp_vseq.atpdomain == 1; Specify an ACTIVE agent Number of transactions to issue Direction and Bandwidth constraints Address constraints ID constraints fifo configuration constraints

27 Use-case performance analysis - Introduction Once the use case is implemented using Traffic Profiles and simulated we can review the behavior of the design when exercised with the requested bandwidth profiles Interconnect Workbench Performance Analyzer provides many ways to analyze the system s performance We will start with a bandwidth analysis to show that the requested bandwidth is supported We will use Outstanding Transaction count as a proxy for system saturation

28 Use-case performance analysis - Bandwidth Requested B/W 3564MB/s 2432MB/s 1488MB/s 10MB/s 320MB/s 1188MB/s 1214MB/s 200MB/s 320MB/s 10MB/s

29 Use-case performance analysis System stress level

30 Use-case performance analysis System stress level

31 Summary Performance analysis and optimization of complex ARM-based SoCs is a challenge that must be approached in a structured, methodical manner A key aspect of the strategy discussed is the use of static workload use cases Introduced Traffic Profiles over ARM AMBA interfaces to facilitate creation of static workload use cases Shared example ARMv8-A mobile subsystem demonstrating usage of Traffic Profiles implemented on top of Cadence simulation to model a static workload use case Analysis of the performance metrics show that the example subsystem is capable of meeting the performance needs of the use case

32 2016 Cadence Design Systems, Inc. All rights reserved worldwide. Cadence, the Cadence logo and the other Cadence marks found at are trademarks or registered trademarks of Cadence Design Systems, Inc. All other trademarks are the property of their respective holders. ARM, AMBA, and Cortex are registered trademarks of ARM Limited (or its subsidiaries) in the EU and/or elsewhere. Mali, CoreLink, and CoreSight are trademarks of ARM Limited (or its subsidiaries) in the EU and/or elsewhere. All rights reserved. PCI-SIG, PCI Express, and PCIe are registered trademarks and/or service marks of PCI-SIG. 32

Analyzing and Debugging Performance Issues with Advanced ARM CoreLink System IP Components

Analyzing and Debugging Performance Issues with Advanced ARM CoreLink System IP Components Analyzing and Debugging Performance Issues with Advanced ARM CoreLink System IP Components By William Orme, Strategic Marketing Manager, ARM Ltd. and Nick Heaton, Senior Solutions Architect, Cadence Finding

More information

Optimizing ARM SoC s with Carbon Performance Analysis Kits. ARM Technical Symposia, Fall 2014 Andy Ladd

Optimizing ARM SoC s with Carbon Performance Analysis Kits. ARM Technical Symposia, Fall 2014 Andy Ladd Optimizing ARM SoC s with Carbon Performance Analysis Kits ARM Technical Symposia, Fall 2014 Andy Ladd Evolving System Requirements Processor Advances big.little Multicore Unicore DSP Cortex -R7 Block

More information

Exploring System Coherency and Maximizing Performance of Mobile Memory Systems

Exploring System Coherency and Maximizing Performance of Mobile Memory Systems Exploring System Coherency and Maximizing Performance of Mobile Memory Systems Shanghai: William Orme, Strategic Marketing Manager of SSG Beijing & Shenzhen: Mayank Sharma, Product Manager of SSG ARM Tech

More information

Maximizing heterogeneous system performance with ARM interconnect and CCIX

Maximizing heterogeneous system performance with ARM interconnect and CCIX Maximizing heterogeneous system performance with ARM interconnect and CCIX Neil Parris, Director of product marketing Systems and software group, ARM Teratec June 2017 Intelligent flexible cloud to enable

More information

Performance Optimization for an ARM Cortex-A53 System Using Software Workloads and Cycle Accurate Models. Jason Andrews

Performance Optimization for an ARM Cortex-A53 System Using Software Workloads and Cycle Accurate Models. Jason Andrews Performance Optimization for an ARM Cortex-A53 System Using Software Workloads and Cycle Accurate Models Jason Andrews Agenda System Performance Analysis IP Configuration System Creation Methodology: Create,

More information

Getting the Most out of Advanced ARM IP. ARM Technology Symposia November 2013

Getting the Most out of Advanced ARM IP. ARM Technology Symposia November 2013 Getting the Most out of Advanced ARM IP ARM Technology Symposia November 2013 Evolving System Requirements Processor Advances big.little Multicore Unicore DSP Cortex -R7 Block are now Sub-Systems Cortex

More information

Optimizing Cache Coherent Subsystem Architecture for Heterogeneous Multicore SoCs

Optimizing Cache Coherent Subsystem Architecture for Heterogeneous Multicore SoCs Optimizing Cache Coherent Subsystem Architecture for Heterogeneous Multicore SoCs Niu Feng Technical Specialist, ARM Tech Symposia 2016 Agenda Introduction Challenges: Optimizing cache coherent subsystem

More information

Building blocks for 64-bit Systems Development of System IP in ARM

Building blocks for 64-bit Systems Development of System IP in ARM Building blocks for 64-bit Systems Development of System IP in ARM Research seminar @ University of York January 2015 Stuart Kenny stuart.kenny@arm.com 1 2 64-bit Mobile Devices The Mobile Consumer Expects

More information

The Challenges of System Design. Raising Performance and Reducing Power Consumption

The Challenges of System Design. Raising Performance and Reducing Power Consumption The Challenges of System Design Raising Performance and Reducing Power Consumption 1 Agenda The key challenges Visibility for software optimisation Efficiency for improved PPA 2 Product Challenge - Software

More information

Building High Performance, Power Efficient Cortex and Mali systems with ARM CoreLink. Robert Kaye

Building High Performance, Power Efficient Cortex and Mali systems with ARM CoreLink. Robert Kaye Building High Performance, Power Efficient Cortex and Mali systems with ARM CoreLink Robert Kaye 1 Agenda Once upon a time ARM designed systems Compute trends Bringing it all together with CoreLink 400

More information

Evolving IP configurability and the need for intelligent IP configuration

Evolving IP configurability and the need for intelligent IP configuration Evolving IP configurability and the need for intelligent IP configuration Mayank Sharma Product Manager ARM Tech Symposia India December 7 th 2016 Increasing IP integration costs per node $140 $120 $M

More information

Analyze system performance using IWB. Interconnect Workbench Dave Huang

Analyze system performance using IWB. Interconnect Workbench Dave Huang Analyze system performance using IWB Interconnect Workbench Dave Huang Perf_analysis@126.com 1 Information Personal peech of personal experience I am on behalf on myself Interconnects Are at the Heart

More information

ARM big.little Technology Unleashed An Improved User Experience Delivered

ARM big.little Technology Unleashed An Improved User Experience Delivered ARM big.little Technology Unleashed An Improved User Experience Delivered Govind Wathan Product Specialist Cortex -A Mobile & Consumer CPU Products 1 Agenda Introduction to big.little Technology Benefits

More information

Negotiating the Maze Getting the most out of memory systems today and tomorrow. Robert Kaye

Negotiating the Maze Getting the most out of memory systems today and tomorrow. Robert Kaye Negotiating the Maze Getting the most out of memory systems today and tomorrow Robert Kaye 1 System on Chip Memory Systems Systems use external memory Large address space Low cost-per-bit Large interface

More information

Effective System Design with ARM System IP

Effective System Design with ARM System IP Effective System Design with ARM System IP Mentor Technical Forum 2009 Serge Poublan Product Marketing Manager ARM 1 Higher level of integration WiFi Platform OS Graphic 13 days standby Bluetooth MP3 Camera

More information

Designing, developing, debugging ARM Cortex-A and Cortex-M heterogeneous multi-processor systems

Designing, developing, debugging ARM Cortex-A and Cortex-M heterogeneous multi-processor systems Designing, developing, debugging ARM and heterogeneous multi-processor systems Kinjal Dave Senior Product Manager, ARM ARM Tech Symposia India December 7 th 2016 Topics Introduction System design Software

More information

Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs. August 2015

Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs. August 2015 Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs August 2015 SPMI USB 2.0 SLIMbus RFFE LPDDR 2 LPDDR 3 emmc 4.5 UFS SD 3.0 SD 4.0 UFS Bare Metal Software DSP Software Bare Metal Software

More information

Copyright 2016 Xilinx

Copyright 2016 Xilinx Zynq Architecture Zynq Vivado 2015.4 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: Identify the basic building

More information

Yafit Snir Arindam Guha Cadence Design Systems, Inc. Accelerating System level Verification of SOC Designs with MIPI Interfaces

Yafit Snir Arindam Guha Cadence Design Systems, Inc. Accelerating System level Verification of SOC Designs with MIPI Interfaces Yafit Snir Arindam Guha, Inc. Accelerating System level Verification of SOC Designs with MIPI Interfaces Agenda Overview: MIPI Verification approaches and challenges Acceleration methodology overview and

More information

Each Milliwatt Matters

Each Milliwatt Matters Each Milliwatt Matters Ultra High Efficiency Application Processors Govind Wathan Product Manager, CPG ARM Tech Symposia China 2015 November 2015 Ultra High Efficiency Processors Used in Diverse Markets

More information

Software Driven Verification at SoC Level. Perspec System Verifier Overview

Software Driven Verification at SoC Level. Perspec System Verifier Overview Software Driven Verification at SoC Level Perspec System Verifier Overview June 2015 IP to SoC hardware/software integration and verification flows Cadence methodology and focus Applications (Basic to

More information

ARM Multimedia IP: working together to drive down system power and bandwidth

ARM Multimedia IP: working together to drive down system power and bandwidth ARM Multimedia IP: working together to drive down system power and bandwidth Speaker: Robert Kong ARM China FAE Author: Sean Ellis ARM Architect 1 Agenda System power overview Bandwidth, bandwidth, bandwidth!

More information

DEVELOPMENT AND VERIFICATION OF AHB2APB BRIDGE PROTOCOL USING UVM TECHNIQUE

DEVELOPMENT AND VERIFICATION OF AHB2APB BRIDGE PROTOCOL USING UVM TECHNIQUE DEVELOPMENT AND VERIFICATION OF AHB2APB BRIDGE PROTOCOL USING UVM TECHNIQUE N.G.N.PRASAD Assistant Professor K.I.E.T College, Korangi Abstract: The AMBA AHB is for high-performance, high clock frequency

More information

Next Generation Verification Process for Automotive and Mobile Designs with MIPI CSI-2 SM Interface

Next Generation Verification Process for Automotive and Mobile Designs with MIPI CSI-2 SM Interface Thierry Berdah, Yafit Snir Next Generation Verification Process for Automotive and Mobile Designs with MIPI CSI-2 SM Interface Agenda Typical Verification Challenges of MIPI CSI-2 SM designs IP, Sub System

More information

On-chip Networks Enable the Dark Silicon Advantage. Drew Wingard CTO & Co-founder Sonics, Inc.

On-chip Networks Enable the Dark Silicon Advantage. Drew Wingard CTO & Co-founder Sonics, Inc. On-chip Networks Enable the Dark Silicon Advantage Drew Wingard CTO & Co-founder Sonics, Inc. Agenda Sonics history and corporate summary Power challenges in advanced SoCs General power management techniques

More information

Multi-core microcontroller design with Cortex-M processors and CoreSight SoC

Multi-core microcontroller design with Cortex-M processors and CoreSight SoC Multi-core microcontroller design with Cortex-M processors and CoreSight SoC Joseph Yiu, ARM Ian Johnson, ARM January 2013 Abstract: While the majority of Cortex -M processor-based microcontrollers are

More information

CHALLENGES OF TODAY'S COMPLEX SOC: PERFORMANCE VERIFICATION PANKAJ SINGH, MALATHI CHIKKANNA

CHALLENGES OF TODAY'S COMPLEX SOC: PERFORMANCE VERIFICATION PANKAJ SINGH, MALATHI CHIKKANNA CHALLENGES OF TODAY'S COMPLEX SOC: PERFORMANCE VERIFICATION PANKAJ SINGH, MALATHI CHIKKANNA INTRODUCTION Rapid progress in Semiconductor Technology Numerous circuits soldered ona printed circuit board

More information

Optimizing Hardware/Software Development for Arm-Based Embedded Designs

Optimizing Hardware/Software Development for Arm-Based Embedded Designs Optimizing Hardware/Software Development for Arm-Based Embedded Designs David Zhang / Cadence Zheng Zhang / Arm Agenda Application challenges in ML/AI and 5G Engines for system development and verification

More information

Cortex-A75 and Cortex-A55 DynamIQ processors Powering applications from mobile to autonomous driving

Cortex-A75 and Cortex-A55 DynamIQ processors Powering applications from mobile to autonomous driving Cortex-A75 and Cortex- DynamIQ processors Powering applications from mobile to autonomous driving Lionel Belnet Sr. Product Manager Arm Arm Tech Symposia 2017 Agenda Market growth and trends DynamIQ technology

More information

Test and Verification Solutions. ARM Based SOC Design and Verification

Test and Verification Solutions. ARM Based SOC Design and Verification Test and Verification Solutions ARM Based SOC Design and Verification 7 July 2008 1 7 July 2008 14 March 2 Agenda System Verification Challenges ARM SoC DV Methodology ARM SoC Test bench Construction Conclusion

More information

Does FPGA-based prototyping really have to be this difficult?

Does FPGA-based prototyping really have to be this difficult? Does FPGA-based prototyping really have to be this difficult? Embedded Conference Finland Andrew Marshall May 2017 What is FPGA-Based Prototyping? Primary platform for pre-silicon software development

More information

CCIX: a new coherent multichip interconnect for accelerated use cases

CCIX: a new coherent multichip interconnect for accelerated use cases : a new coherent multichip interconnect for accelerated use cases Akira Shimizu Senior Manager, Operator relations Arm 2017 Arm Limited Arm 2017 Interconnects for different scale SoC interconnect. Connectivity

More information

Tackling Verification Challenges with Interconnect Validation Tool

Tackling Verification Challenges with Interconnect Validation Tool Tackling Verification Challenges with Interconnect Validation Tool By Hao Wen and Jianhong Chen, Spreadtrum and Dave Huang, Cadence An interconnect, also referred to as a bus matrix or fabric, serves as

More information

SQLoC: Using SQL database for performance analysis of an ARM v8 SoC

SQLoC: Using SQL database for performance analysis of an ARM v8 SoC SQLoC: Using SQL database for performance analysis of an ARM v8 SoC Gordon Allan and Avidan Efody Mentor Graphics Agenda The performance analysis problem Run time & design time configuration Use cases

More information

SoC Platforms and CPU Cores

SoC Platforms and CPU Cores SoC Platforms and CPU Cores COE838: Systems on Chip Design http://www.ee.ryerson.ca/~courses/coe838/ Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrical and Computer Engineering Ryerson University

More information

NoC Generic Scoreboard VIP by François Cerisier and Mathieu Maisonneuve, Test and Verification Solutions

NoC Generic Scoreboard VIP by François Cerisier and Mathieu Maisonneuve, Test and Verification Solutions NoC Generic Scoreboard VIP by François Cerisier and Mathieu Maisonneuve, Test and Verification Solutions Abstract The increase of SoC complexity with more cores, IPs and other subsystems has led SoC architects

More information

Validation Strategies with pre-silicon platforms

Validation Strategies with pre-silicon platforms Validation Strategies with pre-silicon platforms Shantanu Ganguly Synopsys Inc April 10 2014 2014 Synopsys. All rights reserved. 1 Agenda Market Trends Emulation HW Considerations Emulation Scenarios Debug

More information

Developing the Bifrost GPU architecture for mainstream graphics

Developing the Bifrost GPU architecture for mainstream graphics Developing the Bifrost GPU architecture for mainstream graphics Anand Patel Senior Product Manager, Media Processing Group ARM Tech Symposia India December 7 th 2016 Graphics processing drivers Virtual

More information

Mobile & IoT Market Trends and Memory Requirements

Mobile & IoT Market Trends and Memory Requirements Mobile & IoT Market Trends and Memory Requirements JEDEC Mobile & IOT Forum Daniel Heo ARM Segment Marketing Copyright ARM 2016 Outline Wearable & IoT Market Opportunities Challenges in Wearables & IoT

More information

SoC Designer. Fast Models System Creator Cycle Models Reference. Version 9.2. Copyright 2017 ARM Limited. All rights reserved.

SoC Designer. Fast Models System Creator Cycle Models Reference. Version 9.2. Copyright 2017 ARM Limited. All rights reserved. SoC Designer Version 9.2 System Creator Cycle Models Reference Copyright 2017 ARM Limited. All rights reserved. 100992_0902_00 System Creator Cycle Models Reference Copyright 2017 ARM Limited. All rights

More information

Mobile & IoT Market Trends and Memory Requirements

Mobile & IoT Market Trends and Memory Requirements Mobile & IoT Market Trends and Memory Requirements JEDEC Mobile & IOT Forum Ivan H. P. Lin ARM Segment Marketing Copyright ARM 2016 Outline Wearable & IoT Market Opportunities Challenges in Wearables &

More information

Next Generation Enterprise Solutions from ARM

Next Generation Enterprise Solutions from ARM Next Generation Enterprise Solutions from ARM Ian Forsyth Director Product Marketing Enterprise and Infrastructure Applications Processor Product Line Ian.forsyth@arm.com 1 Enterprise Trends IT is the

More information

Verification at ARM. Overview. Alan Hunter

Verification at ARM. Overview. Alan Hunter 2. Industry Verification Flow 1 Verification at ARM Alan Hunter Overview The focus will be on CPU cores ARM then and now How we think about DV DV history A side note on complexity So we just need to boot

More information

Applying the Benefits of Network on a Chip Architecture to FPGA System Design

Applying the Benefits of Network on a Chip Architecture to FPGA System Design white paper Intel FPGA Applying the Benefits of on a Chip Architecture to FPGA System Design Authors Kent Orthner Senior Manager, Software and IP Intel Corporation Table of Contents Abstract...1 Introduction...1

More information

The CoreConnect Bus Architecture

The CoreConnect Bus Architecture The CoreConnect Bus Architecture Recent advances in silicon densities now allow for the integration of numerous functions onto a single silicon chip. With this increased density, peripherals formerly attached

More information

NetSpeed ORION: A New Approach to Design On-chip Interconnects. August 26 th, 2013

NetSpeed ORION: A New Approach to Design On-chip Interconnects. August 26 th, 2013 NetSpeed ORION: A New Approach to Design On-chip Interconnects August 26 th, 2013 INTERCONNECTS BECOMING INCREASINGLY IMPORTANT Growing number of IP cores Average SoCs today have 100+ IPs Mixing and matching

More information

Verification at ARM. Overview 1/18/18

Verification at ARM. Overview 1/18/18 Verification at ARM Alan Hunter Overview The focus will be on CPU cores Arm then and now How we think about DV DV history A side note on complexity So we just need to boot an OS right? What a real project

More information

Cortex-A75 and Cortex-A55 DynamIQ processors Powering applications from mobile to autonomous driving

Cortex-A75 and Cortex-A55 DynamIQ processors Powering applications from mobile to autonomous driving Cortex-A75 and Cortex-A55 DynamIQ processors Powering applications from mobile to autonomous driving Stefan Rosinger Director, Product Management Arm Arm TechCon 2017 Agenda Market growth and trends DynamIQ

More information

Three Things You Need to Know to Use the Accellera PSS

Three Things You Need to Know to Use the Accellera PSS Three Things You Need to Know to Use the Accellera PSS Sharon Rosenberg, Senior Solutions Architect, Cadence Three primary considerations for adopting the Accellera Portable Stimulus Standard (PSS) are

More information

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS Embedded System System Set of components needed to perform a function Hardware + software +. Embedded Main function not computing Usually not autonomous

More information

Title: Using Test-IP Based Verification Techniques in a UVM Environment

Title: Using Test-IP Based Verification Techniques in a UVM Environment Title: Using Test-IP Based Verification Techniques in a UVM Environment Vidya Bellippady Sundar Haran Jay O Donnell Microsemi Corporation Microsemi Corporation Mentor Graphics San Jose, CA Hyderabad, India

More information

IMPROVES. Initial Investment is Low Compared to SoC Performance and Cost Benefits

IMPROVES. Initial Investment is Low Compared to SoC Performance and Cost Benefits NOC INTERCONNECT IMPROVES SOC ECONO CONOMICS Initial Investment is Low Compared to SoC Performance and Cost Benefits A s systems on chip (SoCs) have interconnect, along with its configuration, verification,

More information

Mobile & IoT Market Trends and Memory Requirements

Mobile & IoT Market Trends and Memory Requirements Mobile & IoT Market Trends and Memory Requirements JEDEC Mobile & IOT Forum Copyright 2016 [ARM Inc.] Outline Wearable & IoT Market Opportunity Challenges in Wearables & IoT Market ARM technology tackles

More information

Zynq-7000 All Programmable SoC Product Overview

Zynq-7000 All Programmable SoC Product Overview Zynq-7000 All Programmable SoC Product Overview The SW, HW and IO Programmable Platform August 2012 Copyright 2012 2009 Xilinx Introducing the Zynq -7000 All Programmable SoC Breakthrough Processing Platform

More information

Vertical Reuse of functional verification from subsystem to SoC level (with seamless SoC emulation)

Vertical Reuse of functional verification from subsystem to SoC level (with seamless SoC emulation) Vertical Reuse of functional verification from subsystem to SoC level (with seamless SoC emulation) Pranav Kumar, Staff Engineer Digvijaya Pratap SINGH, Sr. Staff Engineer STMicroelectronics, Greater NOIDA,

More information

ARM the Company ARM the Research Collaborator

ARM the Company ARM the Research Collaborator UMIC Day 13 ARM the Company ARM the Research Collaborator John Goodacre Director Technology and Systems Aachen 15 th October 2013 1 The ARM Vision A world where all electronic products and services are

More information

Verification Futures Nick Heaton, Distinguished Engineer, Cadence Design Systems

Verification Futures Nick Heaton, Distinguished Engineer, Cadence Design Systems Verification Futures 2016 Nick Heaton, Distinguished Engineer, Cadence Systems Agenda Update on Challenges presented in 2015, namely Scalability of the verification engines The rise of Use-Case Driven

More information

The Bifrost GPU architecture and the ARM Mali-G71 GPU

The Bifrost GPU architecture and the ARM Mali-G71 GPU The Bifrost GPU architecture and the ARM Mali-G71 GPU Jem Davies ARM Fellow and VP of Technology Hot Chips 28 Aug 2016 Introduction to ARM Soft IP ARM licenses Soft IP cores (amongst other things) to our

More information

Graph-Based Verification in a UVM Environment

Graph-Based Verification in a UVM Environment Graph-Based Verification in a UVM Environment Staffan Berg European Applications Engineer July 2012 Graph-Based Intelligent Testbench Automation (itba) Welcome DVClub Attendees Organizers Presenters Verification

More information

Digital Blocks Semiconductor IP

Digital Blocks Semiconductor IP Digital Blocks Semiconductor IP General Description The Digital Blocks LCD Controller IP Core interfaces a video image in frame buffer memory via the AMBA 3.0 / 4.0 AXI Protocol Interconnect to a 4K and

More information

Asynchronous on-chip Communication: Explorations on the Intel PXA27x Peripheral Bus

Asynchronous on-chip Communication: Explorations on the Intel PXA27x Peripheral Bus Asynchronous on-chip Communication: Explorations on the Intel PXA27x Peripheral Bus Andrew M. Scott, Mark E. Schuelein, Marly Roncken, Jin-Jer Hwan John Bainbridge, John R. Mawer, David L. Jackson, Andrew

More information

Ncore Cache Coherent Interconnect

Ncore Cache Coherent Interconnect Ncore Cache Interconnect Technology Overview, 24 May 2016 Craig Forrest Chief Technology Officer David Kruckemyer Chief Hardware Architect Copyright 2016 Arteris 24 May 2016 Contents About Arteris Caches,

More information

Bifrost - The GPU architecture for next five billion

Bifrost - The GPU architecture for next five billion Bifrost - The GPU architecture for next five billion Hessed Choi Senior FAE / ARM ARM Tech Forum June 28 th, 2016 Vulkan 2 ARM 2016 What is Vulkan? A 3D graphics API for the next twenty years Logical successor

More information

Zynq Architecture, PS (ARM) and PL

Zynq Architecture, PS (ARM) and PL , PS (ARM) and PL Joint ICTP-IAEA School on Hybrid Reconfigurable Devices for Scientific Instrumentation Trieste, 1-5 June 2015 Fernando Rincón Fernando.rincon@uclm.es 1 Contents Zynq All Programmable

More information

Contents 1 Introduction 2 Functional Verification: Challenges and Solutions 3 SystemVerilog Paradigm 4 UVM (Universal Verification Methodology)

Contents 1 Introduction 2 Functional Verification: Challenges and Solutions 3 SystemVerilog Paradigm 4 UVM (Universal Verification Methodology) 1 Introduction............................................... 1 1.1 Functional Design Verification: Current State of Affair......... 2 1.2 Where Are the Bugs?.................................... 3 2 Functional

More information

Integrating CPU and GPU, The ARM Methodology. Edvard Sørgård, Senior Principal Graphics Architect, ARM Ian Rickards, Senior Product Manager, ARM

Integrating CPU and GPU, The ARM Methodology. Edvard Sørgård, Senior Principal Graphics Architect, ARM Ian Rickards, Senior Product Manager, ARM Integrating CPU and GPU, The ARM Methodology Edvard Sørgård, Senior Principal Graphics Architect, ARM Ian Rickards, Senior Product Manager, ARM The ARM Business Model Global leader in the development of

More information

Introduction to gem5. Nizamudheen Ahmed Texas Instruments

Introduction to gem5. Nizamudheen Ahmed Texas Instruments Introduction to gem5 Nizamudheen Ahmed Texas Instruments 1 Introduction A full-system computer architecture simulator Open source tool focused on architectural modeling BSD license Encompasses system-level

More information

The mobile computing evolution. The Griffin architecture. Memory enhancements. Power management. Thermal management

The mobile computing evolution. The Griffin architecture. Memory enhancements. Power management. Thermal management Next-Generation Mobile Computing: Balancing Performance and Power Efficiency HOT CHIPS 19 Jonathan Owen, AMD Agenda The mobile computing evolution The Griffin architecture Memory enhancements Power management

More information

Yet Another Implementation of CoRAM Memory

Yet Another Implementation of CoRAM Memory Dec 7, 2013 CARL2013@Davis, CA Py Yet Another Implementation of Memory Architecture for Modern FPGA-based Computing Shinya Takamaeda-Yamazaki, Kenji Kise, James C. Hoe * Tokyo Institute of Technology JSPS

More information

Digital Blocks Semiconductor IP

Digital Blocks Semiconductor IP Digital Blocks Semiconductor IP -UHD General Description The Digital Blocks -UHD LCD Controller IP Core interfaces a video image in frame buffer memory via the AMBA 3.0 / 4.0 AXI Protocol Interconnect

More information

Early Software Development Through Emulation for a Complex SoC

Early Software Development Through Emulation for a Complex SoC Early Software Development Through Emulation for a Complex SoC FTF-NET-F0204 Raghav U. Nayak Senior Validation Engineer A P R. 2 0 1 4 TM External Use Session Objectives After completing this session you

More information

Chapter 6 Storage and Other I/O Topics

Chapter 6 Storage and Other I/O Topics Department of Electr rical Eng ineering, Chapter 6 Storage and Other I/O Topics 王振傑 (Chen-Chieh Wang) ccwang@mail.ee.ncku.edu.tw ncku edu Feng-Chia Unive ersity Outline 6.1 Introduction 6.2 Dependability,

More information

Design and Implementation of an AHB SRAM Memory Controller

Design and Implementation of an AHB SRAM Memory Controller Design and Implementation of an AHB SRAM Memory Controller 1 Module Overview Learn the basics of Computer Memory; Design and implement an AHB SRAM memory controller, which replaces the previous on-chip

More information

Designing with ALTERA SoC Hardware

Designing with ALTERA SoC Hardware Designing with ALTERA SoC Hardware Course Description This course provides all theoretical and practical know-how to design ALTERA SoC devices under Quartus II software. The course combines 60% theory

More information

Attack Your SoC Power Challenges with Virtual Prototyping

Attack Your SoC Power Challenges with Virtual Prototyping Attack Your SoC Power Challenges with Virtual Prototyping Stefan Thiel Gunnar Braun Accellera Systems Initiative 1 Agenda Part #1: Power-aware Architecture Definition Part #2: Power-aware Software Development

More information

Introduction Electrical Considerations Data Transfer Synchronization Bus Arbitration VME Bus Local Buses PCI Bus PCI Bus Variants Serial Buses

Introduction Electrical Considerations Data Transfer Synchronization Bus Arbitration VME Bus Local Buses PCI Bus PCI Bus Variants Serial Buses Introduction Electrical Considerations Data Transfer Synchronization Bus Arbitration VME Bus Local Buses PCI Bus PCI Bus Variants Serial Buses 1 Most of the integrated I/O subsystems are connected to the

More information

Verification Futures The next three years. February 2015 Nick Heaton, Distinguished Engineer

Verification Futures The next three years. February 2015 Nick Heaton, Distinguished Engineer Verification Futures The next three years February 2015 Nick Heaton, Distinguished Engineer Let s rewind to November 2011 2 2014 Cadence Design Systems, Inc. All rights reserved. November 2011 SoC Integration

More information

The Use Of Virtual Platforms In MP-SoC Design. Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006

The Use Of Virtual Platforms In MP-SoC Design. Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006 The Use Of Virtual Platforms In MP-SoC Design Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006 1 MPSoC Is MP SoC design happening? Why? Consumer Electronics Complexity Cost of ASIC Increased SW Content

More information

Designing Security & Trust into Connected Devices

Designing Security & Trust into Connected Devices Designing Security & Trust into Connected Devices Eric Wang Sr. Technical Marketing Manager Tech Symposia China 2015 November 2015 Agenda Introduction Security Foundations on ARM Cortex -M Security Foundations

More information

Break Your SoC with Automatically Generated C Test Cases

Break Your SoC with Automatically Generated C Test Cases Break Your SoC with Automatically Generated C Test Cases Frederic Krampac Senior Applications Engineer Breker Verification Systems, Inc. The Myths of SoC Verification The IPs are well verified the SoC

More information

Design AXI Master IP using Vivado HLS tool

Design AXI Master IP using Vivado HLS tool W H I T E P A P E R Venkatesh W VLSI Design Engineer and Srikanth Reddy Sr.VLSI Design Engineer Design AXI Master IP using Vivado HLS tool Abstract Vivado HLS (High-Level Synthesis) tool converts C, C++

More information

Veloce2 the Enterprise Verification Platform. Simon Chen Emulation Business Development Director Mentor Graphics

Veloce2 the Enterprise Verification Platform. Simon Chen Emulation Business Development Director Mentor Graphics Veloce2 the Enterprise Verification Platform Simon Chen Emulation Business Development Director Mentor Graphics Agenda Emulation Use Modes Veloce Overview ARM case study Conclusion 2 Veloce Emulation Use

More information

SONICS, INC. Sonics SOC Integration Architecture. Drew Wingard. (Systems-ON-ICS)

SONICS, INC. Sonics SOC Integration Architecture. Drew Wingard. (Systems-ON-ICS) Sonics SOC Integration Architecture Drew Wingard 2440 West El Camino Real, Suite 620 Mountain View, California 94040 650-938-2500 Fax 650-938-2577 http://www.sonicsinc.com (Systems-ON-ICS) Overview 10

More information

CoreTile Express for Cortex-A5

CoreTile Express for Cortex-A5 CoreTile Express for Cortex-A5 For the Versatile Express Family The Versatile Express family development boards provide an excellent environment for prototyping the next generation of system-on-chip designs.

More information

Product Technical Brief S3C2416 May 2008

Product Technical Brief S3C2416 May 2008 Product Technical Brief S3C2416 May 2008 Overview SAMSUNG's S3C2416 is a 32/16-bit RISC cost-effective, low power, high performance micro-processor solution for general applications including the GPS Navigation

More information

What is gem5 and where do I get it?

What is gem5 and where do I get it? What is gem5 and where do I get it? Andreas Sandberg & Nikos Nikoleris ARM Research Why gem5? Runs real workloads Runs complex workloads like Android & ChromeOS System-level insights Device interactions

More information

Design and Verification of AMBA AHB- Lite protocol using Verilog HDL

Design and Verification of AMBA AHB- Lite protocol using Verilog HDL Design and Verification of AMBA AHB- Lite protocol using Verilog HDL Sravya Kante #1, Hari KishoreKakarla *2, Avinash Yadlapati #3 1, 2 Department of ECE, KL University Green Fields, Vaddeswaram-522502,

More information

Freescale i.mx6 Architecture

Freescale i.mx6 Architecture Freescale i.mx6 Architecture Course Description Freescale i.mx6 architecture is a 3 days Freescale official course. The course goes into great depth and provides all necessary know-how to develop software

More information

Memory Systems for Embedded Applications. Chapter 4 (Sections )

Memory Systems for Embedded Applications. Chapter 4 (Sections ) Memory Systems for Embedded Applications Chapter 4 (Sections 4.1-4.4) 1 Platform components CPUs. Interconnect buses. Memory. Input/output devices. Implementations: System-on-Chip (SoC) vs. Multi-Chip

More information

UVM BASED TEST BENCH TO VERIFY AMBA AXI4 SLAVE PROTOCOL

UVM BASED TEST BENCH TO VERIFY AMBA AXI4 SLAVE PROTOCOL UVM BASED TEST BENCH TO VERIFY AMBA AXI4 SLAVE PROTOCOL Smitha A P1, Ashwini S2 1 M.Tech VLSI Design and Embedded Systems, ECE Dept. 2 Assistant Professor, ECE Dept. NCET, Bengaluru, India. ---------------------------------------------------------------------***---------------------------------------------------------------------

More information

Achieving UFS Host Throughput For System Performance

Achieving UFS Host Throughput For System Performance Achieving UFS Host Throughput For System Performance Yifei-Liu CAE Manager, Synopsys Mobile Forum 2013 Copyright 2013 Synopsys Agenda UFS Throughput Considerations to Meet Performance Objectives UFS Host

More information

IMPLEMENTATION OF LOW POWER INTERFACE FOR VERIFICATION IP (VIP) OF AXI4 PROTOCOL

IMPLEMENTATION OF LOW POWER INTERFACE FOR VERIFICATION IP (VIP) OF AXI4 PROTOCOL e-issn 2455 1392 Volume 2 Issue 8, August 2016 pp. 1 8 Scientific Journal Impact Factor : 3.468 http://www.ijcter.com IMPLEMENTATION OF LOW POWER INTERFACE FOR VERIFICATION IP (VIP) OF AXI4 PROTOCOL Bhavana

More information

Mapping applications into MPSoC

Mapping applications into MPSoC Mapping applications into MPSoC concurrency & communication Jos van Eijndhoven jos@vectorfabrics.com March 12, 2011 MPSoC mapping: exploiting concurrency 2 March 12, 2012 Computation on general purpose

More information

Beyond Hardware IP An overview of Arm development solutions

Beyond Hardware IP An overview of Arm development solutions Beyond Hardware IP An overview of Arm development solutions 2018 Arm Limited Arm Technical Symposia 2018 Advanced first design cost (US$ million) IC design complexity and cost aren t slowing down 542.2

More information

Responding to TAT Improvement Challenge through Testbench Configurability and Re-use

Responding to TAT Improvement Challenge through Testbench Configurability and Re-use Responding to TAT Improvement Challenge through Testbench Configurability and Re-use Akhila M, Kartik Jain, Renuka Devi, Mukesh Bhartiya Accellera Systems Initiative 1 Motivation Agenda Generic AMBA based

More information

Fast Track to Productivity Using Questa Verification IP by David Aerne and Ankur Jain, Verification Technologists, Mentor Graphics

Fast Track to Productivity Using Questa Verification IP by David Aerne and Ankur Jain, Verification Technologists, Mentor Graphics Fast Track to Productivity Using Questa Verification IP by David Aerne and Ankur Jain, Verification Technologists, Mentor Graphics ABSTRACT The challenges inherent in verifying today s complex designs

More information

Near Memory Key/Value Lookup Acceleration MemSys 2017

Near Memory Key/Value Lookup Acceleration MemSys 2017 Near Key/Value Lookup Acceleration MemSys 2017 October 3, 2017 Scott Lloyd, Maya Gokhale Center for Applied Scientific Computing This work was performed under the auspices of the U.S. Department of Energy

More information

100M Gate Designs in FPGAs

100M Gate Designs in FPGAs 100M Gate Designs in FPGAs Fact or Fiction? NMI FPGA Network 11 th October 2016 Jonathan Meadowcroft, Cadence Design Systems Why in the world, would I do that? ASIC replacement? Probably not! Cost prohibitive

More information

SoC FPGAs. Your User-Customizable System on Chip Altera Corporation Public

SoC FPGAs. Your User-Customizable System on Chip Altera Corporation Public SoC FPGAs Your User-Customizable System on Chip Embedded Developers Needs Low High Increase system performance Reduce system power Reduce board size Reduce system cost 2 Providing the Best of Both Worlds

More information

VERIFICATION OF AMBA AXI BUS PROTOCOL IMPLEMENTING INCR AND WRAP BURST USING SYSTEM VERILOG

VERIFICATION OF AMBA AXI BUS PROTOCOL IMPLEMENTING INCR AND WRAP BURST USING SYSTEM VERILOG VERIFICATION OF AMBA AXI BUS PROTOCOL IMPLEMENTING INCR AND WRAP BURST USING SYSTEM VERILOG Harsha Garua 1, Keshav Sharma 2, Chusen Duari 3 1 Manipal University Jaipur 2 Manipal University Jaipur 3 Assistant

More information