Mixed Signal Design Simulation Manual

Size: px
Start display at page:

Download "Mixed Signal Design Simulation Manual"

Transcription

1 CADENCE Mixed Signal Design Simulation Manual Version 1.0 By Zheng Huan Qun February 2005 Department of Electrical and Computer Engineering National University of Singapore

2 ACKNOWLEDGMENTS The author would like to thank Mr Chen Jian Zhong who provided the sample database, and she would also wish to thank Dr Xu Yong Ping who gave support during the whole process. Zheng Huan Qun 18 February

3 Table of Contents 1. Introduction Prerequisites Environment Setup Interface Elements for Mixed Signal Simulation Changing the property of a2d Changing the property of d2a A Mixed-Signal Schematic Simulation Creating a Configuration Setting up the Simulation Environment Viewing Options for Hierarchical Netlisting Displaying Partitions Starting Simulation A Mixed-Signal Pre-Layout Simulation Extracting Analog Parasitics Extracting parasitics Running LVS Creating an analog extracted view Setting Partitions Modifying the configuration file Setting up for simulation View the design partitions Estimated Digital Delays Loading the simulation state Setting up pre-layout estimation and the pearl timing analyzer Simulating the Design Simulation Comparing results A Mixed-Signal Post-Layout Simulation Creating a Mixed Extracted View Extraction Creating a config view Comparing views Building a mixed extracted view Block Level Approach to a Mixed-Signal Post-Layout Simulation Creating mixed extracted view for digital or mixed block(s) Modifying the configuration file Simulating the design Whole Design Approach to a Mixed-Signal Post-Layout Simulation

4 1. Introduction The mixed signal schematic, pre-layout and post-layout simulations with the simulator of spectreverilog are described in this manual. The manual is especially edited for using AMS (austriamicrosystems) design kits. If you are using other design kits and it doesn t provide Verilog code and msps view for a digital primitive cell, you should know how to create verilog code and create a msps view for a digital primitive cell Prerequisites The prerequisites to use this manual are knowing how to use spectre and analog design environment, basic knowledge of verilog language, both the schematic and layout of design are ready, and the layout passes LVS checking Environment Setup To setup environment, do as follows on UNIX system. mkdir project_directory cd project_directory ams_cds tech c35b4 1 mode fb to start cadence (at 1 st time) ams_cds or ams_cds mode fb to start cadence (afterwards) open the file`.simrc` and locate the lines with change it as follows. 1 c35b4 is the type of technology used. 3

5 1.3. Interface Elements for Mixed Signal Simulation Cadence partitioning algorithm scans the entire design and determines if primitive instances are analog or digital. It then identifies signals connecting analog and digital primitives as mixed signals. Translation from the analog to digital domain or vice verse is required for mixed signal simulation. Therefore, mixed signals are described by translation devices called Interface Elements (IEs) during the netlisting process. The IEs translate signals between the analog and digital domains. Digital pins attached to mixed signals must be unidirectional to imply the direction of translation. A digital input pin implies translation from the analog to the digital domain (a2d), and a digital output pin implies translation from the digital to the analog domain (d2a), referring to figure 1. Analog Block N1 Input Digital Block N2 Output Analog Block a2d d2a Analog Block Digital Block Analog Block Figure 1 The IEs do not appear in the schematic and they use macromodels defined by a combination of CDF and the IE macromodel file. The IE cell macros are automatically inserted into the final siumulation netlist where needed. Users don t need to define the IE macromodel file as the design kits already provide. To simulate a mixed-signal design more accurately, users might want to change some parameters of the IE macromodel file Changing the property of a2d Referring to figure 2, an a2d conversion is defined by three parameters: v1, v0 and tx. To change them, open the IE Model Property Editor form by select Mixed-Signal Interface Elements Library in the configured schematic 2 window and set Model IO to input as shown below. Click Ok in the IE Model Property Editor form after changing. 2 It is opened through a config file. Please refer to sections 2.1 and 2.2 for details. 4

6 Figure 2 a2d conversion Changing the property of d2a Referring to figure 3, a d2a conversion is defined by four parameters: VL, VH, TR and TF. To change them, open the IE Model Property Editor form by select Mixed-Signal Interface Elements Library in the configured schematic window and set Model IO to output as shown below. Click Ok in the IE Model Property Editor form after changing. 5

7 Figure 3 d2a conversion 6

8 2. A Mixed-Signal Schematic Simulation In this section, a mixed signal schematic simulation is described. The sample used is a one bit A-to-D converter. Referring to figures below, figure 4 shows the project library and there are four cells where mix_test is the top cell with analog blocks (Pre_amp1 and latch1) and digital block (mix_testdig). Figure 5 to figure 8 are the schematics of those cells. Figure 4 Project library: mix_sim Figure 5 Schematic of mix_test 7

9 Figure 6 Schematic of Pre-amp1 Figure 7 Schematic of latch1 Figure 8 Schematic of mix_testdig 8

10 Following the steps to do the mix-signal schematic simulation, details of the each step are described in sections 2.1 ~ 2.5. Creating a configuration Setting up the simulation environment Viewing options for Hierarchical Netlisting Displaying partitions Starting simulation 2.1. Creating a Configuration 1. Start cadence with ams_cds under project directory. 2. In the Library Manager, select File New Cell View. 3. Select Hierarchy-Editor as the tool, and complete the Create New File form as shown: The Library Name is the project library name and the Cell Name must be as same as that of top cell. 4. Click Ok. The Hierarchy Editor opens as well as a New Configuration form in front of it. 5. Type schematic in the View field of the New Configuration form. Click Use Template button. The Use Template form opens. 9

11 6. Choose spectreverilog as the name of template. Click Ok. 7. Change the Library List filed to the one you use mix_sim in the New Configuration form. Now, the New Configuration form should be as follows. 8. Click Ok. The Hierarchy Editor now displays the hierarchy for this design as shown below. 10

12 9. Click Save button in the Hierarchy Editor form to save the configuration. The config view appears in the Library Manager Setting up the Simulation Environment 1. In the Hierarchy Editor window, click Open. The mix_test schematic window appears. Note the additional phrase, Config: mix_sim mix_test config in the window title bar. This is the one so called the configured schematic window. 11

13 2. In the mix_test configured schematic window that you opened through the config view, select Tools Analog Environment. The Analog Design Environment window appears. 3. Use the Setup Simulator/Directory/Host function and set the simulator to spectreverilog. Click Ok in the Choosing Simulator/Directory/Host form. 12

14 4. Select Setup Model Libraries and ensure that the analog models are as same as follows. Click Ok in the Model Library Setup form. 5. Select Simulation Options Digital and ensure that the digital model is as same as below. Click Ok. 6. Choose an analysis in the way of using spectre. Here choose a Transient Analysis, and set the stop time to 400ns. 7. Execute Outputs To Be Plotted Select On Schematic to bring the schematic to the foreground for signal selection, which is as same as running spectre. Select the signals to plot after simulation, hitting the Esc key when done. Now, the simulation window is as follows. 13

15 8. To save state, click on Session Save State. The Saving State form appears. 9. Click Ok in the Saving State form Viewing Options for Hierarchical Netlisting 1. In the Analog Design Environment window, select Setup Environment. The Environment Options form appears. 14

16 2. Click the lone Verilog Netlist Option button. The Verilog HNL Netlisting Options form appears. 3. In the Verilog HNL Netlisting Options form, verify that the following settings appear. 4. Make sure that the Generate Pin Map option is on. 5. Note that the Generate Test Fixture Template field is set to Verimix. 6. Click Ok in the Verilog HNL Netlisting Options form. 7. Click Ok in the Environment Options form. 15

17 2.4. Displaying Partitions 1. In the mix_test configured schematic window, select Mixed Signal Display Partition Interactive. The Partition Display form appears. Make sure that the Show View Found option is active. Note the colors for analog, digital, and mixed. 2. Click Apply in the Partition Display form. 3. The schematic is partitioned as follows. Note the colors for analog, digital, and mixed again. 4. Click Cancel in the Partition Display form to remove the partitioning display. If you accidentally removed the Partition Display form, select Mixed Signal Display Partition Remove All to clear the partitioning display. Note: if your schematic is not partitioned successfully, you will not able to simulate your design. Check your Hierarchy Editor form and manage to let it partitioned successfully. 16

18 2.5. Starting Simulation 1. In the Analog Design Environment window, select Simulation Netlist Create. The Hierarchical Netlister begins to netlist the design. The following information appears in the CIW: Two separate windows appear which contain the complete analog and digital netlists. 2. In both the ~/Sim/mix_test/spectreVerilog/config/analog/input.scs and ~/Sim/mix_test/spectreVerilog/config/digital/input.scs windows, note the Interface Elements (IEs) 3 inserted as shown below. From the Analog Netlist: From the Digital Netlist: These allow the spectre and Verilog simulators to exchange information during simulation. 3. Choose File Close Window to close the netlist windows. 3 Please refer to section 1.3 if you want to change the property of IEs. 17

19 4. Select Simulation Run to start simulation. As the simulation starts, messages appear in the CIW, and two separate windows, spectre.out and verilog.out, appear too. 5. At the end of a successful simulation, the following appears in the CIW: If the simulation is not successful, you need to check the messages in the spectre.out and verilog.out. 6. Output waveforms appear as follows. 7. Close all windows and exit cadence. 18

20 3. A Mixed-Signal Pre-Layout Simulation In this section, a mixed-signal simulation with extracted analog parasitics and estimated prelayout digital parasitics is described. The sample used is as same as that of section 2. In addition, the layout of analog block is needed. The tasks to perform are summarized here, and the steps to complete them follow: Extracting analog parasitics, Setting partitions, Estimated digital delays, Simulating the design. 3.1 Extracting Analog Parasitics The analog parasitic extraction process consists of extracting parasitics, running LVS (layout versus schematic comparison for terminal mapping), and creating an analog extracted view. This process should be repeated for every analog block in your design where you want parasitics extracted. As there are two analog blocks in the sample, we shall create analog extracted view for both Pre-amp1 and latch Extracting parasitics 1. Start cadence with ams_cds mode fb under the project directory. 2. Start the Library Manager from CIW by selecting Tools Library Manager. 3. From the Library Manager window, open the schematic and layout view of Pre-amp1 (or latch1). 19

21 4. From the menu bar in the layout window, select Verify Extract. The diva Extractor form appears. 5. On the Extractor form, turn on Join Nets With Same Name to ensure that nets with same name are automatically joined. 6. To select the parasitics to be extracted, click on Set Switches. The Set Switches form appears. 20

22 7. Choose capall and click Ok to close the Set Switches window. 8. Ensure that the Extractor form is filled in as shown. 9. Clock Ok to start the extraction process. When the extraction completes successfully, the following message appears in the CIW. 10. Close the Pre-amp1 (or latch1) layout window. Do not save changes Running LVS 1. In the Library Manager window, open the extracted view of Pre-amp1 (or latch1). 21

23 2. In the extracted view window, select Verify LVS. The LVS form appears, and the LVS Form Contents Different form may also appear. 3. Turn on the Form Contents in the LVS Form Contents Different form and click Ok. 4. Ensure that the fields of LVS form are filled in as shown below: Create Netlist schematic extracted Library 4 mix_sim mix_sim Cell 5 Pre-amp1(or latch1) Pre-amp1(or latch1) View schematic extracted The LVS form should appear as follows. 4 The library is the project library. 5 The Cell is the cell which you want to extract. 22

24 If the Create Netlist section of the form is not filled in as shown, do as follows to update. Click Sel by Cursor on the schematic side and click again on the schematic window. Click Sel by Cursor on the extracted side, and then click again on the layout extracted view window. 5. Click Run in the LVS form. The LVS program begins. 6. When the LVS process completes, click Ok in the Analysis Job Succeeded dialog box. 7. Click on the Info button in the LVS form. The Display Run Information form appears. 8. Click Log File in the Display Run Information form. A text window displays the log file and updates as the job runs. After successful completion of the LVS run, the log file displays information about the netlist comparison: 23

25 9. Select File Close Window to close the log file. 10. Click Cancel in the Display Run Information window Creating an analog extracted view To include the analog parasitics for simulation, you must first create an analog_extracted view. It can contain all or some of the parasitics that are found in an analog block. 1. In the LVS form, click Build Analog. The Build Analog Extracted View form appears. 2. In the Build Analog Extracted View form, turn on Include All as above. 3. Click Ok in the Build Analog Extracted View form. The analog_extracted view is built and contains all of the parasitics extracted for the analog block Pre-amp1 (or latch1). The CIW displays the information: 4. To close the LVS window, select Commands Close Window. The anlog_extracted view for the Pre-amp1 (or latch1) now appears in the Library Manager. With this view you will be able to run parasitic analysis simulation. 24

26 3.2. Setting Partitions In this section, you will modify the configuration file created in the mixed-signal schematic simulation, run simulation with analog_extracted view for analog block(s) Modifying the configuration file 1. Open the Library Manager, and locate the top cell: mix_test and its config view. 2. Click the word config with your middle mouse button, and select Copy. The Copy View form appears. 3. Copy 6 the config view to a new view named config_fe 7. Set up your form like this. 6 This aims to keep the original configuration file and its simulation results. 7 You can use a unique name for the new view that also identifies it as a configuration file. 25

27 4. Click Ok in the Copy View form. 5. In the Library Manager, open the config_fe view of the mix_test cell. The Open Configuration or Top CellView form appears. 6. Select yes to open both the configuration and the Top CellView. 7. Click Ok. The configured schematic of mix_test opens, and the Hierarchy Editor window opens. 8. In the Hierarchy Editor window, put you cursor in the View Found section for the analog blocks (Pre-amp1 and latch1). Press and hold your right mouse to choose Set Cell View analog_extracted. 26

28 9. Choose View Update or click update icon in the Hierarchy Editor window. An Update Sync-up form might appear. Read it and click Ok. The configuration is updated. 10. The Hierarchy Editor window should be as follows. 27

29 Setting up for simulation 1. In the configured schematic window, select Tools Analog Environment. The Analog Design Environment window appears. 2. Make sure the simulator is set to spectreverilog View the design partitions 1. From the configured mix_test schematic window, select Mix-Signal Display Partition Interactive. 2. In the Partition Display form, turn on Show View Found and click Apply. The partitioning display appears. 28

30 3. Zoom in to view the configured schematic: the analog blocks are used with analog_extracted view and the digital blocks are used with schematic view. 4. Click Cancel in the Partition Display form to remove the partitioning display Estimated Digital Delays In this section, you prepare to simulate the design with pre-layout digital delay estimates. You need to load the state file of previous simulation, and then set up Pearl 8 to generate pre-layout digital delay estimates for simulation Loading the simulation state 1. In the Analog Design Environment simulation window, choose Session Load State. The Loading State form appears. 2. Verify that the simulator is set to spectreverilog. 3. In the State Name field, select state1. 4. Click Ok. The Analog Design Environment simulation window is as follows. 8 Pearl also generates post-layout digital delays. Refer to the Pearl User Guide for more information about using the Pearl analyzer. 29

31 5. Verify that the Verilog HNL Netlisting Options form is as below, referring to section Setting up pre-layout estimation and the pearl timing analyzer 9 1. From the Analog Design Environment window, select Simulation Options Mixed Signal. The Mixed Signal Options form appears. 9 Pearl requires two initialization files to run: pearl.cmd and gcfconstrains.gcf. 30

32 2. In the Digital Delays section, choose Estimate (Pre-Layout). The form expends to show additional buttons. 3. In the Mixed Signal Options form, click Command. The Command Options form appears. The information in this form is used in the pearl.cmd file. 4. Click Ok in the Command Options form. 31

33 5. In the Mixed Signal Options form, click Constraints. An editor window appears and it is used as gcfconstraints.gcf file. 6. Close the editor window. 7. In the Mixed Signal Options form, click Config. The SDF Annotator Config File form appears. 8. Click Ok in the SDF Annotator Config File form. 9. Click Ok in the Mixed Signal Options form Simulating the Design Simulation 1. In the Analog Design Environment window, select Simulation Netlist Recreate. In a few moments, the analog and digital netlist appears. 2. In the Analog Design Environment window, select Simulation Run or click the Run Simulation icon. Upon successful completion of the simulation, the waveforms plot automatically. 32

34 Comparing results You can compare the mixed signal schematic simulation results with the current results. 1. In the Analog Design Environment window, select Results Printing/Plotting Options. 2. In the Setting Plotting Options form that appears, turn on the Overlay Plots as follows. 33

35 3. Click Ok in the Setting Plotting Options form. This setting maintains existing plots in the waveform window when new waveform appears from the current simulation. 4. In the Analog Design Environment window, select Results Select. A Select Results form appears 5. Select config which is saved in the mixed signal schematic simulation, and then click Ok. 6. Click the Plot Outputs icon in the Analog Design Environment window and watch the waveform window update to display results from both simulation. 34

36 7. Close all windows and exit cadence. 35

37 4. A Mixed-Signal Post-Layout Simulation In this section, the simulation of mixed-signal with both analog and digital parasitics is described. To fully experience mixed-signal parasitic simulation (MSPS), two simulations will be run. One is the block level approach and the other is whole design approach. For a mixed-signal post-layout simulation, the tasks to perform are summarized here, creating mixed extracted view (block-level), modifying the configuration file (top-level), and simulating the design (top-level). As modifying the configuration file and simulation design are described in section 3, in this section creating mixed extracted view is emphasized and users can follow the two simulations, block level approach and whole design approach, to experience the flow Creating a Mixed Extracted View To simulate a digital block or a mixed analog and digital block with parasitics, a mixed extracted view must be created. The steps to create a mixed extracted view are as follows. Extraction Creating a config view Comparing views Build a mixed extracted view To illustrate the procedure, the block of mix_testdig is used as an example Extraction 1. Start cadence with ams_cds mode fb under the project directory. 2. In the Library Manager, open the layout and schematic views of mix_testdig. 3. In the mix_testdig layout view window, select Verify MSPS Check Pins. The MSPS Check Pins form appears. 4. Click Ok in the MSPS Check Pins form. This runs a pin direction check between the layout and schematic views of a digital or a mixed analog and digital block. The following appears in the CIW. 36

38 5. In the layout view window, choose Verify Extract. The Extractor form appears. 6. Set up the Extractor form as follows. Set up the Extractor Method to macro cell. This stops extraction of digital cells below the top level of hierarchy. Click Join Nets With Same Name. Click the Set Switches button and choose capall. 7. Click Ok in the Extractor form. The extracted view is created. 37

39 Creating a config view Before running LVS on a digital block or a mixed analog and digital block, a config view must be created because a config view is used instead of schematic view when creating mixed_extracted view. 1. In the Library Manager, select File New Cell View... The Create New File form appears. 2. Set the form up as follows: Library Name: mix_sim 10 Cell Name: mix_testdig 11 View Name: config Tool: Hierarchy-Editor 3. Click Ok in the Create New File form. The Hierarchy Editor window opens as well as a New Configuration form in front of it. 10 This should be your project library. 11 This is the digital or the mixed analog and digital cell name. 38

40 4. Fill in the New Configuration form as follows. 5. Click Ok in the New Configuration form. The Hierarchy Editor now displays the hierarchy. 6. In the Hierarchy Editor form, select File Save or click the Save button to save the configuration. 39

41 Comparing views 1. Open the extracted view of mix_testdig. 2. From the extracted view window, choose Verify LVS. The LVS form appears. In addition, the LVS Form Contents Different form might appear as well. 3. Select Form Contents in the LVS Form Contents Different form, and click Ok. 4. Set up the LVS form as follows. Make sure that you use the config view under the schematic side of the LVS form. 40

42 5. In the LVS form, click Run to start LVS. When the process completes, click Ok in the Analysis Job Completed form. 6. Click Info to display the Display Run Information form. 7. Click Log File in the form. A log file of the LVS run appears. 41

43 8. To verify that the program succeeds, look for: the net-lists match. If it fails, read the message in the log file for information. 9. Close the log file. 10. In the Display Run Information box, click Cancel Building a mixed extracted view The build mixed extracted view process removes all digital parasitics and places them in an SPF file. Pearl used the SPF file to calculate digital delays and generate an SDF file which provides that digital delay information used during mixed-signal parasitics simulation. 1. On the LVS form, click Build Mixed. The Build Mixed Extracted View form appears. 42

44 2. Click Command in the Build Mixed Extracted View form. The Command Options form appears. 3. Click Ok in the Command Options form. 4. Click Constrains in the Build Mixed Extracted View form. An editor window appears. 5. Close the editor window. 43

45 6. Make sure that the Calculate button under Digital Delays is on, and the Include All button under Analog Parasitics is on. 7. Click Ok in the Build Mixed Extracted View form. The pearl analyzer runs in the background, and it completes when the following information appears in CIW. 8. The mixed_extracted view appears in the Library Manager window as follows. 9. Close all windows except the CIW and Library Manager windows Block Level Approach to a Mixed-Signal Post-Layout Simulation In this section, the mixed-signal post-layout simulation is described, where the mixed_extracted view of digital or mixed block(s) is used and the analog_extracted view of analog block(s) is used. The sample used is as same as previous one but the layout of both analog and digital block is needed Creating mixed extracted view for digital or mixed block(s) If you haven t got the mixed_extracted view of digital or mixed block(s) for your design, please follow the steps of section 4.1 to finish them. 44

46 Modifying the configuration file To keep the previous simulation results, we will copy the config_fe view of mix_test to config_msps, and then modify it. If you forgot how to do, please refer to section In the Library Manager, copy the config_fe view of the top cell: mix_test to a view called config_msps. 2. Go back to Library Manager and verify that the config_msps view exists in the View field. 3. In the Library Manager, open the config_msps view of the top cell: mix_test. The Open Configuration or Top CellView form appears. 4. Select yes to open both the Configuration and Top CellView. 45

47 5. Click Ok in the Open Configuration or Top CellView form 6. In the Hierarchy Editor window, do the following changes: View list: Stop list: Digital or Mixed blocks: Add in msps aulvs in front Change to msps aulvs Change to mixed_extracted view Keep in mind that the analog blocks must be analog_extracted view. 7. The Hierarchy Editor window should be look like this: 8. Click the Update icon to save changes. If an Update Sync-up form appears, read it and click Ok. 46

48 Simulating the design 1. In the configured mix_test schematic window, select Tool Analog Environment to start the simulation environment. 2. Verify that the simulator is set to spectreverilog. 3. Use the Load State function to load the state 1. The Analog Design Environment window looks like this. 4. Verify that the Verilog HNL Netlisting Options form is as below, referring to section

49 5. In the Analog Design Environment window, select Simulation Options Mixed Signal. The Mixed Signal Options form appears. 6. In the Digital Delays section, select Use Existing (Layout). The form expands to include additional controls. 7. Verify that SDF From Mixed Extracted View is selected. This takes the SDF data from the mixed_extracted view. 8. Click Config that is next to the Edit SDF Annotator File. The SDF Annotator Config File form appears. 48

50 9. Set the MTM (delay) value to TYPICAL and click Ok. 10. Click Ok in the Mixed Signal Options form. 11. In the Analog Design Environment window, select Simulation Netlist and Run or click the Netlist and Run icon. Note the messages in the CIW which indicate that the SDF file is included in the digital netlist. 12. Upon successful completion of the simulation, the waveforms plot automatically. 13. To compare the results with the results of pre-layout simulation, select the results of config_fe, referring to section

51 4.3. Whole Design Approach to a Mixed-Signal Post-Layout Simulation In the following simulation, a mixed_extracted view for the entire design is used. Before starting MSPS, the following lists should be ready entire design schematic, entire design layout, a testing cell or top cell for the entire design. Figure 9 to figure 11 show the example used in this section. Figure 9 Schematic of entire design: mix_test_whole 50

52 Figure 10 Layout of entire design: mix_test_whole Figure 11 Testing cell or top cell for the entire design: mix_test_whole_top 51

53 The steps to simulate the whole design are below. 1. Build a mixed_extracted view for the entire design: mix_test_whole, referring to section In the Library Manager, create a config view for the testing cell: mix_test_whole_top, referring to section Open both the config_msps2 and the configured schematic mix_test_whole_top windows. 4. Verify that the Hierarchy Editor is as follows. 52

54 Note: the stop list and view list should be as same as above. If not, change them and save the changes. 5. In the configured mix_test_whole_top schematic window, open the Analog Design Environment window. 6. Verify that the simulator is set to spectreverilog. 7. Use the Load State function to load state1 of cell mix_test or follow the steps of section 2.2 to set up the simulation environment. 53

55 8. Verify that the Verilog HNL Netlisting Options form is as below, referring to section In the Analog Design Environment window, select Simulation Options Mixed Signal. 10. In the Digital Delays section of the Mixed Signal Options form, click on Use Existing (Layout). The form expands. 54

56 11. Verify that the SDF From Mixed Extracted View is selected. 12. Click Config button that is next to the Edit SDF Annotator File. The SDF Annotator Config File form appears. 13. Verify that MTM is set to TYPICAL, and click Ok in the SDF Annotator Config File form, and click Ok in the Mixed Signal Options form. 14. In the Analog Design Environment window, click the Netlist and Run icon. The simulation starts and the waveforms appear after successful simulation. Note the message in the CIW which indicate that the SDF file is included in the digital netlist. 55

57 15. To comparing the results with that of block level approach, load the results of config_msps of cell: mix_test. 16. Close all windows and exit cadence END

More information can be found in the Cadence manuals Virtuoso Layout Editor User Guide and Cadence Hierarchy Editor User Guide.

More information can be found in the Cadence manuals Virtuoso Layout Editor User Guide and Cadence Hierarchy Editor User Guide. Chapter 6 Building with Layout This chapter consists of two parts. The first describes the generation of layout views and the second deals with the various tools used for verifying the layout, both physical

More information

Revision Notes: July2004 Generate tutorial for single transistor analysis. Based on existing schematic entry tutorial developed for ECE410

Revision Notes: July2004 Generate tutorial for single transistor analysis. Based on existing schematic entry tutorial developed for ECE410 Cadence Analog Tutorial 1: Schematic Entry and Transistor Characterization Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Revision Notes: July2004 Generate tutorial for

More information

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group.

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group. Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group. Revision Notes: Aug. 2003 update and edit A. Mason add intro/revision/contents

More information

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2)

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2) CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2) Joel Wilder, Aleksandar Milenkovic, ECE Dept., The University of Alabama in Huntsville

More information

Cadence IC Design Manual

Cadence IC Design Manual Cadence IC Design Manual For EE5518 ZHENG Huan Qun Lin Long Yang Revised on May 2017 Department of Electrical & Computer Engineering National University of Singapore 1 P age Contents 1 INTRODUCTION...

More information

EEC 118 Spring 2011 Lab #5 Manchester Carry-Chain Adder

EEC 118 Spring 2011 Lab #5 Manchester Carry-Chain Adder EEC 118 Spring 2011 Lab #5 Manchester Carry-Chain Adder Rajeevan Amirtharajah Dept. of Electrical and Computer Engineering University of California, Davis Issued: May 9, 2011 Due: May 20, 2011, 5 PM in

More information

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group.

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Revision Notes: Jan. 2006 Updated for use with spectre simulator

More information

CS755 CAD TOOL TUTORIAL

CS755 CAD TOOL TUTORIAL CS755 CAD TOOL TUTORIAL CREATING SCHEMATIC IN CADENCE Shi-Ting Zhou shi-ting@cs.wisc.edu After you have figured out what you want to design, and drafted some pictures and diagrams, it s time to input schematics

More information

Microelectronica. Full-Custom Design with Cadence Tutorial

Microelectronica. Full-Custom Design with Cadence Tutorial Área Científica de Electrónica Microelectronica Full-Custom Design with Cadence Tutorial AustriaMicroSystems C35B3 (HIT-Kit 3.70) Marcelino Santos Table of contends 1. Starting Cadence... 3 Starting Cadence

More information

Verifying the Multiplexer Layout

Verifying the Multiplexer Layout 4 This chapter introduces you to interactive verification. You will perform two different tests in the Virtuoso layout editor while using Assura interactive verification products. One test uses the Design

More information

Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial

Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial 1 Table of Contents Design Flow in Libero TM IDE v2.3 Step 1 - Design Creation 3 Step 2 - Design Verification

More information

Cadence Tutorial: Schematic Entry and Circuit Simulation of a CMOS Inverter

Cadence Tutorial: Schematic Entry and Circuit Simulation of a CMOS Inverter Cadence Tutorial: Schematic Entry and Circuit Simulation of a CMOS Inverter Introduction This tutorial describes the steps involved in the design and simulation of a CMOS inverter using the Cadence Virtuoso

More information

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION After finishing a schematic of your design (Tutorial-I), the next step is creating masks which are for

More information

Cadence Tutorial C: Simulating DC and Timing Characteristics 1

Cadence Tutorial C: Simulating DC and Timing Characteristics 1 Cadence Tutorial C: Simulating DC and Timing Characteristics Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group Last updated by Patrick O Hara SS15 Document Contents Introduction

More information

Amplifier Simulation Tutorial. Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5)

Amplifier Simulation Tutorial. Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5) Amplifier Simulation Tutorial Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5) Yongsuk Choi, Marvin Onabajo This tutorial provides a quick introduction to the use of Cadence tools

More information

Virtuoso Layout Editor

Virtuoso Layout Editor This tutorial will cover the basic steps involved in using the Cadence layout editor called Virtuoso, extracting layout, and running simulation on the layout. The inverter layout is used as an example

More information

Synthesis and APR Tools Tutorial

Synthesis and APR Tools Tutorial Synthesis and APR Tools Tutorial (Last updated: Oct. 26, 2008) Introduction This tutorial will get you familiarized with the design flow of synthesizing and place and routing a Verilog module. All the

More information

CADENCE SETUP. ECE4430-Analog IC Design

CADENCE SETUP. ECE4430-Analog IC Design CADENCE SETUP This short tutorial shows how to configure Cadence to use the NCSU Cadence Design Kit (CDK) with access to the ON Semiconductor C5 0.5-µm and the TSMC 0.35-µm CMOS processes libraries. In

More information

This is a brief tutorial about building a Symbol for a Schematic in Cadence IC design tool environment for hierarchical design of schematics.

This is a brief tutorial about building a Symbol for a Schematic in Cadence IC design tool environment for hierarchical design of schematics. This is a brief tutorial about building a Symbol for a Schematic in Cadence IC design tool environment for hierarchical design of schematics. 1. > cd work035 2. > cadsetup ams035 3. > virtuoso& IMPORTANT:

More information

Using Cadence Virtuoso, a UNIX based OrCAD PSpice like program, Remotely on a Windows Machine

Using Cadence Virtuoso, a UNIX based OrCAD PSpice like program, Remotely on a Windows Machine Using Cadence Virtuoso, a UNIX based OrCAD PSpice like program, Remotely on a Windows Machine A. Launch PuTTY. 1. Load the Saved Session that has Enable X11 forwarding and the Host Name is cvl.ece.vt.edu.

More information

Cadence Tutorial D: Using Design Variables and Parametric Analysis Document Contents Introduction Using Design Variables Apply Apply

Cadence Tutorial D: Using Design Variables and Parametric Analysis Document Contents Introduction Using Design Variables Apply Apply Cadence Tutorial D: Using Design Variables and Parametric Analysis Created for the MSU VLSI program by Casey Wallace Last Updated by: Patrick O Hara SS15 Document Contents Introduction Using Design Variables

More information

Lab 2. Standard Cell layout.

Lab 2. Standard Cell layout. Lab 2. Standard Cell layout. The purpose of this lab is to demonstrate CMOS-standard cell design. Use the lab instructions and the cadence manual (http://www.es.lth.se/ugradcourses/cadsys/cadence.html)

More information

Lab 4 LVS and Post layout Simulation

Lab 4 LVS and Post layout Simulation Lab 4 LVS and Post layout Simulation Objective: In this lab you will learn 1. How to check if your layout that you drew in lab 3 matches your schematic that you drew in lab 2. 2. How to do the post layout

More information

ECE 546 HOMEWORK No 10 Due Thursday, April 19, yes last

ECE 546 HOMEWORK No 10 Due Thursday, April 19, yes last ECE 546 HOMEWORK No 10 Due Thursday, April 19, 2018 In this homework you will extract the pulse response of the given channel, extract the decision feedback equalization (DFE) coefficients to equalize

More information

VLSI Lab Tutorial 3. Virtuoso Layout Editing Introduction

VLSI Lab Tutorial 3. Virtuoso Layout Editing Introduction VLSI Lab Tutorial 3 Virtuoso Layout Editing Introduction 1.0 Introduction The purpose of this lab tutorial is to guide you through the design process in creating a custom IC layout for your CMOS inverter

More information

EE115C Digital Electronic Circuits. Tutorial 2: Hierarchical Schematic and Simulation

EE115C Digital Electronic Circuits. Tutorial 2: Hierarchical Schematic and Simulation EE115C Digital Electronic Circuits Tutorial 2: Hierarchical Schematic and Simulation The objectives are to become familiar with Virtuoso schematic editor, learn how to create the symbol view of basic primitives,

More information

S Exercise 1C Testing the Ring Oscillator

S Exercise 1C Testing the Ring Oscillator S-87.3148 Exercise 1C Testing the Ring Oscillator Aalto University School of Electrical Engineering Department of Micro- and Nanosciences (ECDL) 10.9.2014 1 1 Building the test bench In this exercise,

More information

Cadence Schematic Tutorial. EEE5320/EEE4306 Fall 2015 University of Florida ECE

Cadence Schematic Tutorial. EEE5320/EEE4306 Fall 2015 University of Florida ECE Cadence Schematic Tutorial EEE5320/EEE4306 Fall 2015 University of Florida ECE 1 Remote access You may access the Linux server directly from the NEB Computer Lab using your GatorLink username and password.

More information

Professor Muller Fall 2016 Sameet Ramakrishnan Eric Chang Adapted from prior EE140 and EE141 labs. EE 140/240A Lab 0 Full IC Design Flow

Professor Muller Fall 2016 Sameet Ramakrishnan Eric Chang Adapted from prior EE140 and EE141 labs. EE 140/240A Lab 0 Full IC Design Flow Professor Muller Fall 2016 Sameet Ramakrishnan Eric Chang Adapted from prior EE140 and EE141 labs EE 140/240A Lab 0 Full IC Design Flow In this lab, you will walk through the full process an analog designer

More information

EE4111 Advanced Analog Electronics Design. Spring 2009 Experiment #4 April 6 ~ April 17

EE4111 Advanced Analog Electronics Design. Spring 2009 Experiment #4 April 6 ~ April 17 EE4111 Advanced Analog Electronics Design Spring 2009 Experiment #4 April 6 ~ April 17 Setup Cadence in VLSI Lab 1) Copy files $ cp r /home/grads/ee4111ta ~/ 2) Edit your.cshrc file -- Include the following

More information

CS/EE 5720/6720 Analog IC Design Tutorial for Schematic Design and Analysis using Spectre

CS/EE 5720/6720 Analog IC Design Tutorial for Schematic Design and Analysis using Spectre CS/EE 5720/6720 Analog IC Design Tutorial for Schematic Design and Analysis using Spectre Introduction to Cadence EDA: The Cadence toolset is a complete microchip EDA (Electronic Design Automation) system,

More information

Cadence Analog Circuit Tutorial

Cadence Analog Circuit Tutorial Cadence Analog Circuit Tutorial Schematic Entry for Analog Designs- Passive Circuits (RLC Circuit) In this tutorial, we will build the circuit shown in figure 1 below, using the Cadence Composer tool.

More information

EECE 285 VLSI Design. Cadence Tutorial EECE 285 VLSI. By: Kevin Dick Co-author: Jeff Kauppila Co-author: Dr. Arthur Witulski

EECE 285 VLSI Design. Cadence Tutorial EECE 285 VLSI. By: Kevin Dick Co-author: Jeff Kauppila Co-author: Dr. Arthur Witulski Cadence Tutorial EECE 285 VLSI By: Kevin Dick Co-author: Jeff Kauppila Co-author: Dr. Arthur Witulski 1 Table of Contents Purpose of Cadence 1) The Purpose of Cadence pg. 4 Linux 1) The Purpose of Linux

More information

Figure 1: ADE Test Editor

Figure 1: ADE Test Editor Due to some issues that ADE GXL simulation environment has (probably because of inappropriate setup), we will run simulations in the ADE L design environment, which includes all the necessary tools that

More information

ELEC 301 Lab 2: Cadence Basic

ELEC 301 Lab 2: Cadence Basic ELEC 301 Lab 2: Cadence Basic Revision: 2.1 Last modified: Aug. 98 Introduction In this class, you will be introduced to the Cadence suit of IC design tools. These tools are a very powerful set of tools.

More information

DRC and LVS checks using Cadence Virtuoso Version 2.0

DRC and LVS checks using Cadence Virtuoso Version 2.0 DRC and LVS checks using Cadence Virtuoso Version 2.0 Start virtuoso l l Open a virtuoso session in the directory which contains the required cds.lib and lib.def files. Command : virtuoso & Open the layout

More information

Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics

Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics Introduction This tutorial describes how to generate a mask layout in the Cadence Virtuoso Layout Editor. Use of DIVA

More information

Design rule illustrations for the AMI C5N process can be found at:

Design rule illustrations for the AMI C5N process can be found at: Cadence Tutorial B: Layout, DRC, Extraction, and LVS Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Revised by C Young & Waqar A Qureshi -FS08 Document Contents Introduction

More information

Lab 1: An Introduction to Cadence

Lab 1: An Introduction to Cadence GIF-4201/GEL-7016 (Micro-électronique) Lab 1: An Introduction to Cadence Schematic, simulation and layout Gabriel Gagnon-Turcotte, Mehdi Noormohammadi Khiarak and Benoit Gosselin Department of Electrical

More information

ELEC451 Integrated Circuit Engineering Using Cadence's Virtuoso Layout Editing Tool

ELEC451 Integrated Circuit Engineering Using Cadence's Virtuoso Layout Editing Tool ELEC451 Integrated Circuit Engineering Using Cadence's Virtuoso Layout Editing Tool Contents Contents 1. General 2. Creating and Working On a Layout o 2.1 Undoing/Re-doing an Action o 2.2 Display Options

More information

ECE 683 OSU DIGITAL CELL LIBRARY DOCUMENTATION. Matt Silverman 12/5/2005. Timing Characterization Using Cadence

ECE 683 OSU DIGITAL CELL LIBRARY DOCUMENTATION. Matt Silverman 12/5/2005. Timing Characterization Using Cadence ECE 683 OSU DIGITAL CELL LIBRARY DOCUMENTATION Matt Silverman 12/5/2005 Timing Characterization Using Cadence TABLE OF CONTENTS INTRODUCTION... 3 TRANSIENT ANALYSIS WITH CADENCE -------------------------------------------------------------------

More information

ECE 331: Electronics Principles I Fall 2014

ECE 331: Electronics Principles I Fall 2014 ECE 331: Electronics Principles I Fall 2014 Lab #0: Introduction to Computer Modeling and Laboratory Measurements Report due at your registered lab period on the week of Sept. 8-12 Week 1 Accessing Linux

More information

UNIVERSITY OF WATERLOO

UNIVERSITY OF WATERLOO UNIVERSITY OF WATERLOO UW ASIC DESIGN TEAM: Cadence Tutorial Description: Part I: Layout & DRC of a CMOS inverter. Part II: Extraction & LVS of a CMOS inverter. Part III: Post-Layout Simulation. The Cadence

More information

The following is a procedure for extracting a layout, doing a layout vs. schematic check, and then simulating the extracted layout with Cadence.

The following is a procedure for extracting a layout, doing a layout vs. schematic check, and then simulating the extracted layout with Cadence. The following is a procedure for extracting a layout, doing a layout vs. schematic check, and then simulating the extracted layout with Cadence. (This might not be the best way, but it works!) 1) Realize

More information

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #4, Standard cell design flow (from verilog to layout, 8-bit accumulator)

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #4, Standard cell design flow (from verilog to layout, 8-bit accumulator) CPE/EE 427, CPE 527, VLSI Design I: Tutorial #4, Standard cell design flow (from verilog to layout, 8-bit accumulator) Joel Wilder, Aleksandar Milenkovic, ECE Dept., The University of Alabama in Huntsville

More information

VLSI Lab Tutorial 1. Cadence Virtuoso Schematic Composer Introduction

VLSI Lab Tutorial 1. Cadence Virtuoso Schematic Composer Introduction VLSI Lab Tutorial 1 Cadence Virtuoso Schematic Composer Introduction 1.0 Introduction The purpose of the first lab tutorial is to help you become familiar with the schematic editor, Virtuoso Schematic

More information

Virtuoso Schematic Composer

Virtuoso Schematic Composer is a schematic design tool from Cadence. In this tutorial you will learn how to put electrical components, make wire connections, insert pins and check for connection error. Start Cadence Custom IC Design

More information

Creating Verilog Tutorial Netlist Release Date: 01/13/2005(Version 2)

Creating Verilog Tutorial Netlist Release Date: 01/13/2005(Version 2) Creating Verilog Tutorial 2-1 - Creating a verilog netlist for a schematic: The verilog netlist is necessary for automatic layout (placement and routing) tools. It contains information about the I/O pins

More information

EE183 LAB TUTORIAL. Introduction. Projects. Design Entry

EE183 LAB TUTORIAL. Introduction. Projects. Design Entry EE183 LAB TUTORIAL Introduction You will be using several CAD tools to implement your designs in EE183. The purpose of this lab tutorial is to introduce you to the tools that you will be using, Xilinx

More information

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals ESE570, Spring 2019 HW5: Delay and Layout Sunday, February 17th Due: Friday,

More information

ECE471/571 Energy Efficient VLSI Design Project 2 Cadence Setup and Creation of an Inverter Due Date 11:30 am on Friday, February 2 nd, 2018

ECE471/571 Energy Efficient VLSI Design Project 2 Cadence Setup and Creation of an Inverter Due Date 11:30 am on Friday, February 2 nd, 2018 ECE471/571 Energy Efficient VLSI Design Project 2 Cadence Setup and Creation of an Inverter Due Date 11:30 am on Friday, February 2 nd, 2018 Introduction This project will first walk you through the setup

More information

DOWNLOAD PDF CADENCE WAVEFORM CALCULATOR USER GUIDE

DOWNLOAD PDF CADENCE WAVEFORM CALCULATOR USER GUIDE Chapter 1 : CSE / Cadence Tutorial The Cadence Design Communities support Cadence users and technologists interacting to exchange ideas, news, technical information, and best practices to solve problems

More information

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) Objective Part A: To become acquainted with Spectre (or HSpice) by simulating an inverter,

More information

Beginners manual for Cadence

Beginners manual for Cadence Starting the Cadence for the first time. Beginners manual for Cadence Drawing 1: Cadence initialization (terminal window) Follow these steps [Drawing 1]: create new subdirectory ( use 'mkdir' command for

More information

Advanced Design System Netlist Exporter

Advanced Design System Netlist Exporter Advanced Design System 2002 Netlist Exporter February 2002 Notice The information contained in this document is subject to change without notice. Agilent Technologies makes no warranty of any kind with

More information

DRC and LVS checks using Cadence Virtuoso Version 3.0

DRC and LVS checks using Cadence Virtuoso Version 3.0 DRC and LVS checks using Cadence Virtuoso Version 3.0 Start virtuoso l l Open a virtuoso session in the directory which contains the required cds.lib and lib.def files. Command : virtuoso & Open the layout

More information

Lund University. Department of Electroscience. CADENCE Condensed. Stefan Molund. September 2001

Lund University. Department of Electroscience. CADENCE Condensed. Stefan Molund. September 2001 Lund University Department of Electroscience CADENCE Condensed Stefan Molund September 2001 Abstract The intention of this manual is to convey some basic instructions on how to use the various CAD tools

More information

AMS 0.18 µm PDK Setup and Cadence Tutorial Contributors

AMS 0.18 µm PDK Setup and Cadence Tutorial Contributors AMS 0.18 µm PDK Setup and Cadence Tutorial Contributors Muhammad Ahmed, Sita Asar, and Ayman Fayed, Power Management Research Lab, https://pmrl.osu.edu, Department of Electrical and Computer Engineering,

More information

How to Get Started. Figure 3

How to Get Started. Figure 3 Tutorial PSpice How to Get Started To start a simulation, begin by going to the Start button on the Windows toolbar, then select Engineering Tools, then OrCAD Demo. From now on the document menu selection

More information

Analog IC Schematic Capture. Mentor Graphics 2006

Analog IC Schematic Capture. Mentor Graphics 2006 Analog IC Schematic Capture Mentor Graphics 2006 Santa Clara University Department of Electrical Engineering Date of Last Revision: February 6, 2007 Table of Contents 1. Objective...3 2. Setup & Preparation...4

More information

Cadence Tutorial. Introduction to Cadence 0.18um, Implementation and Simulation of an inverter. A. Moradi, A. Miled et M. Sawan

Cadence Tutorial. Introduction to Cadence 0.18um, Implementation and Simulation of an inverter. A. Moradi, A. Miled et M. Sawan Cadence Tutorial Introduction to Cadence 0.18um, Implementation and Simulation of an inverter A. Moradi, A. Miled et M. Sawan Section 1: Introduction to Cadence You will see how to create a new library

More information

Basic Analog Simulation in Cadence

Basic Analog Simulation in Cadence York University Department of Electrical Engineering and Computer Science EMIL Tutorial Series Tutorial #1 Basic Analog Simulation in Cadence In this tutorial we step through how to start Cadence (or at

More information

Introduction to laboratory exercises in Digital IC Design.

Introduction to laboratory exercises in Digital IC Design. Introduction to laboratory exercises in Digital IC Design. A digital ASIC typically consists of four parts: Controller, datapath, memory, and I/O. The digital ASIC below, which is an FFT/IFFT co-processor,

More information

EE115C Digital Electronic Circuits. Tutorial 4: Schematic-driven Layout (Virtuoso XL)

EE115C Digital Electronic Circuits. Tutorial 4: Schematic-driven Layout (Virtuoso XL) EE115C Digital Electronic Circuits Tutorial 4: Schematic-driven Layout (Virtuoso XL) This tutorial will demonstrate schematic-driven layout on the example of a 2-input NAND gate. Simple Layout (that won

More information

Guardian NET Layout Netlist Extractor

Guardian NET Layout Netlist Extractor Outline What is Guardian NET Key Features Running Extraction Setup Panel Layout Annotation Layout Text Extraction Node Naming Electric Rule Checking (ERC) Layout Hierarchy Definition Hierarchy Checker

More information

Harmony-AMS Analog/Mixed-Signal Simulator

Harmony-AMS Analog/Mixed-Signal Simulator Harmony-AMS Analog/Mixed-Signal Simulator Yokohama, June 2004 Workshop 7/15/04 Challenges for a True Single-Kernel A/MS Simulator Accurate partition of analog and digital circuit blocks Simple communication

More information

Lab 2: Functional Simulation Using. Affirma Analog Simulator

Lab 2: Functional Simulation Using. Affirma Analog Simulator Lab 2: Functional Simulation Using Affirma Analog Simulator This Lab will go over: 1. Creating a test bench 2. Simulation in Spectre Spice using the Analog Design environment 1. Creating a test bench:

More information

LTSPICE MANUAL. For Teaching Module EE4415 ZHENG HAUN QUN. December 2016

LTSPICE MANUAL. For Teaching Module EE4415 ZHENG HAUN QUN. December 2016 LTSPICE MANUAL For Teaching Module EE4415 ZHENG HAUN QUN December 2016 DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINNERING NATIONAL UNIVERSITY OF SINGAPORE Contents 1. Introduction... 2 1.1 Installation...

More information

Simulation with Verilog-XL

Simulation with Verilog-XL Simulation with Verilog-XL Adapted from Princeton Cadence Page (http://www.ee.princeton.edu/~cadence/usr/verilog.html) Until now, we have been using the Analog Environment to do simulations. This simulator

More information

Getting started. Starting Capture. To start Capture. This chapter describes how to start OrCAD Capture.

Getting started. Starting Capture. To start Capture. This chapter describes how to start OrCAD Capture. Getting started 1 This chapter describes how to start OrCAD Capture. Starting Capture The OrCAD Release 9 installation process puts Capture in the \PROGRAM FILES\ORCAD\CAPTURE folder, and adds Pspice Student

More information

EDA-BASED DESIGN PRACTICAL LABORATORY SESSION No. 3

EDA-BASED DESIGN PRACTICAL LABORATORY SESSION No. 3 LABORATOIRE DE SYSTEMES MICROELECTRONIQUES EPFL STI IMM LSM ELD Station nº 11 CH-1015 Lausanne Téléphone : Fax : E-mail : Site web : +4121 693 6955 +4121 693 6959 lsm@epfl.ch lsm.epfl.ch EDA-BASED DESIGN

More information

Virtuoso Schematic Composer VHDL Interface. VHDL In for Design Framework II:

Virtuoso Schematic Composer VHDL Interface. VHDL In for Design Framework II: Virtuoso Schematic Composer VHDL Interface. VHDL In for Design Framework II: VHDL In for Design Framework II can convert a VHDL structural or behavioral description into one of three forms in Cadence database

More information

The original document link is

The original document link is Tutorial:Analog Artist with HSPICE The original document link is http://www.eda.ncsu.edu/wiki/tutorial:analog_artist_with_hspice This tutorial will introduce you to the Cadence Environment: specifically

More information

PSpice with Orcad 10

PSpice with Orcad 10 PSpice with Orcad 10 1. Creating Circuits Using PSpice Tutorial 2. AC Analysis 3. Step Response 4. Dependent Sources 5. Variable Phase VSin Source Page 1 of 29 Creating Circuits using PSpice Start Orcad

More information

MENTOR GRAPHICS IC DESIGN MANUAL. Schematic & Simulation. Gun Jun K Praveen Jayakar Thomas Zheng Huan Qun

MENTOR GRAPHICS IC DESIGN MANUAL. Schematic & Simulation. Gun Jun K Praveen Jayakar Thomas Zheng Huan Qun MENTOR GRAPHICS IC DESIGN MANUAL Schematic & Simulation By Gun Jun K Praveen Jayakar Thomas Zheng Huan Qun August 2004 Signal Processing & VLSI Design Laboratory Department of Electrical & Computer Engineering

More information

EE 330 Fall 2017 Lab 1: Cadence Custom IC design tools - Setup, Schematic capture and simulation

EE 330 Fall 2017 Lab 1: Cadence Custom IC design tools - Setup, Schematic capture and simulation EE 330 Fall 2017 Lab 1: Cadence Custom IC design tools - Setup, Schematic capture and simulation Table of Contents Objective... 2 1. Setup... 2 Set Bash Shell for the account... 2 2. Starting Cadence Custom

More information

EE 330 Spring 2018 Lab 1: Cadence Custom IC design tools Setup, Schematic capture and simulation

EE 330 Spring 2018 Lab 1: Cadence Custom IC design tools Setup, Schematic capture and simulation EE 330 Spring 2018 Lab 1: Cadence Custom IC design tools Setup, Schematic capture and simulation Table of Contents Objective... 2 1. Setup... 2 Set Bash Shell for the account... 2 2. Starting Cadence Custom

More information

Usage Guide of Calibre on DRC check

Usage Guide of Calibre on DRC check Usage Guide of Calibre on DRC check Zheng Huan Qun November 2006 The guide shows the flow of DRC check with the tool Calibre of Mentor Graphics. The design kits used in the guide is AMS kits. The method

More information

HOMEWORK 9 CMPEN 411 Due: 4/12/ :30pm

HOMEWORK 9 CMPEN 411 Due: 4/12/ :30pm HOMEWORK 9 CMPEN 411 Due: 4/12/2016 11:30pm Learning Objective Complete the full 8 bit RISC microprocessor chip design by placing the processor core design into the 40 pin 'tiny' chip pad frame. Do verify

More information

RTL Design and IP Generation Tutorial. PlanAhead Design Tool

RTL Design and IP Generation Tutorial. PlanAhead Design Tool RTL Design and IP Generation Tutorial PlanAhead Design Tool Notice of Disclaimer The information disclosed to you hereunder (the "Materials") is provided solely for the selection and use of Xilinx products.

More information

QuartusII.doc 25/02/2005 Page 1

QuartusII.doc 25/02/2005 Page 1 1 Start Icon... 2 1.1 The Quartus II Screen... 2 2 Project creation... 2 3 Schematic entry... 5 3.1 Create new drawing... 5 3.2 Symbol selection... 7 3.3 Placement of an AND gate... 8 3.4 Deleting a symbol...

More information

DC Circuit Simulation

DC Circuit Simulation Chapter 2 DC Circuit Simulation 2.1 Starting the Project Manager 1. Select Project Manager from the Start All Program Cadence Release 16.5 Project Manager. 2. Select Allegro PCB Designer (Schematic) from

More information

Introduction to STA using PT

Introduction to STA using PT Introduction to STA using PT Learning Objectives Given the design, library and script files, your task will be to successfully perform STA using the PrimeTime GUI and generate reports. After completing

More information

Select the technology library: NCSU_TechLib_ami06, then press OK.

Select the technology library: NCSU_TechLib_ami06, then press OK. ECE 126 Inverter Tutorial: Schematic & Symbol Creation Created for GWU by Anis Nurashikin Nordin & Thomas Farmer Tutorial adapted from: http://www.ee.ttu.edu/ee/cadence/commondirectory/final%20tutorials/digitalcircuitsimulationusingvirtuoso.doc

More information

Advanced Design System IFF Schematic Translation for Cadence

Advanced Design System IFF Schematic Translation for Cadence Advanced Design System 2001 IFF Schematic Translation for Cadence August 2001 Notice The information contained in this document is subject to change without notice. Agilent Technologies makes no warranty

More information

FACULTY OF ENGINEERING MULTIMEDIA UNIVERSITY LAB SHEET DIGITAL INTEGRATED CIRCUIT

FACULTY OF ENGINEERING MULTIMEDIA UNIVERSITY LAB SHEET DIGITAL INTEGRATED CIRCUIT FACULTY OF ENGINEERING MULTIMEDIA UNIVERSITY LAB SHEET DIGITAL INTEGRATED CIRCUIT DIC1: Schematic Design Entry, Simulation & Verification DIC2: Schematic Driven Layout Drawing (SDL) Design Rule Check (DRC)

More information

EE261 Computer Project 1: Using Mentor Graphics for Digital Simulation

EE261 Computer Project 1: Using Mentor Graphics for Digital Simulation EE261 Computer Project 1: Using Mentor Graphics for Digital Simulation Introduction In this project, you will begin to explore the digital simulation tools of the Mentor Graphics package available on the

More information

UNIVERSITY OF CALIFORNIA, DAVIS Department of Electrical and Computer Engineering. EEC180A DIGITAL SYSTEMS I Winter 2015

UNIVERSITY OF CALIFORNIA, DAVIS Department of Electrical and Computer Engineering. EEC180A DIGITAL SYSTEMS I Winter 2015 UNIVERSITY OF CALIFORNIA, DAVIS Department of Electrical and Computer Engineering EEC180A DIGITAL SYSTEMS I Winter 2015 LAB 1: Introduction to Quartus II Schematic Capture and ModelSim Simulation This

More information

Guide to the CSE 577 Lab and Cad tools

Guide to the CSE 577 Lab and Cad tools Guide to the CSE 577 Lab and Cad tools 1. Introduction The objective of this tutorial is to give you an overview to (1) setup the Cadence and Synopsys hspice tools for your account in IST 218 Lab, (2)

More information

Introduction. About this tutorial. How to use this tutorial

Introduction. About this tutorial. How to use this tutorial Basic Entry & not About this tutorial This tutorial consists of an introduction to creating simple circuits on an FPGA using a variety of methods. There are two ways to create the circuit: using or by

More information

Laboratory 3. EE 342 (VLSI Circuit Design) - Using Spectre netlist and Calculator for simulation

Laboratory 3. EE 342 (VLSI Circuit Design) - Using Spectre netlist and Calculator for simulation EE 342 (VLSI Circuit Design) Laboratory 3 - Using Spectre netlist and Calculator for simulation By Mulong Li, 2013 1 Background knowledge Spectre: is a SPICE-class circuit simulator. It provides the basic

More information

Cadence Virtuoso Simulation of a pixel

Cadence Virtuoso Simulation of a pixel MEMS AND MICROSENSORS 2018/2019 Cadence Virtuoso Simulation of a pixel 11/12/2018 Giorgio Mussi giorgio.mussi@polimi.it Introduction In this lab, we will use Cadence Virtuoso to simulate a sub-array of

More information

Verilog Design Entry, Synthesis, and Behavioral Simulation

Verilog Design Entry, Synthesis, and Behavioral Simulation ------------------------------------------------------------- PURPOSE - This lab will present a brief overview of a typical design flow and then will start to walk you through some typical tasks and familiarize

More information

Lab 1: Cadence Custom IC design tools- Setup, Schematic capture and simulation

Lab 1: Cadence Custom IC design tools- Setup, Schematic capture and simulation Lab 1: Cadence Custom IC design tools- Setup, Schematic capture and simulation Brittany Duffy EE 330- Integrated Electronics Lab Section B Professor Randy Geiger 1/24/13 Introduction The main goal of this

More information

Experiment 0: Introduction to Cadence

Experiment 0: Introduction to Cadence UNIVERSITY OF CALIFORNIA AT BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences EE105 Lab Experiments Experiment 0: Introduction to Cadence Contents 1. Introduction...

More information

EE 140/240A - Full IC Design Flow Tutorial

EE 140/240A - Full IC Design Flow Tutorial Original document by Filip Maksimovic & Mike Lorek, Spring 2015, derived from earlier EE141 lab manuals Revisions for IC6 by David Burnett & Thaibao Phan, Spring 2016 Revisions made by Nandish Mehta to

More information

RFIC Dynamic Link September 2004

RFIC Dynamic Link September 2004 RFIC Dynamic Link September 2004 Notice The information contained in this document is subject to change without notice. Agilent Technologies makes no warranty of any kind with regard to this material,

More information

Galaxy Custom Designer SE The New Choice in Custom Schematic Editing and Simulation Environment

Galaxy Custom Designer SE The New Choice in Custom Schematic Editing and Simulation Environment Datasheet Galaxy Custom Designer SE The New Choice in Custom Schematic Editing and Simulation Environment Overview Galaxy Custom Designer SE is the next-generation choice for schematic entry, enabling

More information

Hardware Verification Group. Department of Electrical and Computer Engineering, Concordia University, Montreal, Canada. CAD Tool Tutorial.

Hardware Verification Group. Department of Electrical and Computer Engineering, Concordia University, Montreal, Canada. CAD Tool Tutorial. Digital Logic Synthesis and Equivalence Checking Tools Hardware Verification Group Department of Electrical and Computer Engineering, Concordia University, Montreal, Canada CAD Tool Tutorial May, 2010

More information

Mentor Graphics VLSI CAD Tutorials

Mentor Graphics VLSI CAD Tutorials VLSI Design Flow Using Mentor-Graphics Tools Mentor Graphics VLSI CAD Tutorials School of Engineering Santa Clara University Santa Clara, CA 95053 At the Design Center, School of Engineering, of Santa

More information