LAB 4: Seven Seg, Full Adder, Ripple Adder, Heirarchical Design

Size: px
Start display at page:

Download "LAB 4: Seven Seg, Full Adder, Ripple Adder, Heirarchical Design"

Transcription

1 Engineering 303 Digital Logic Design LAB 4: Seven Seg, Full Adder, Ripple Adder, Heirarchical Design Build the following designs and verify correct operation. This lab uses hierarchical design. Review Part 2 - Qartus II Tutorial: Hierarchical Diagram Design Simulation from lab 1 before you do section 3 of this lab. Deliverables: 0) Seven Segment Decoder 1) Full Adder 2) 8-bit Ripple Carrry Adder 3) 8-bit Ripple Carrry Adder with Hexadecimal Display Demonstration Requirement: Demonstrate Part 3 RippleAdder with seven segment display on the DE2 board from the last part of this lab. Part 0 A Verilog Binary to Hexadecimal Seven-Segment Decoder This is an important design that you will be using the rest of the semester. Make sure it works!! Using Verilog, design and implement a circuit that will receive a 4-bit value from switches, and output a 7- bit code to drive a seven-segment display. The display will output a hexadecimal value between 0 (decimal 0) and F (decimal 15). Here is the diagram for the 7-segment decoder, and the segment numbers. B 4 Just combo logic in here 7 S SSdecode For example, 0000 (hex 0), should turn on all segments except segment 6. Another example, 0011 (hex 3), should turn on segments 0, 1, 2, 3, and 6. Another example, 1010 (hex A), should turn on all segments except 3. The signals to activate (turn on) individual segments on the DE2 board are "active low". This means that a logic level 0 turns a segment ON. For example if "S0" through "S6" are all logic 0, the display will show an 8. Engineering 303 Lab 4 Folsom Lake College Page 1 of 9

2 Your truth table will look like this, the first row is done for you. It is important that you number the signals left-to-right from "most significant bit" (msb) to "least significant bit" (lsb), as shown here. For example B3 thru BO, left to right. Inputs Outputs Hex B3 B2 B1 B0 S6 S5 S4 S3 S2 S1 S0 minterm b3 b2 b1 b b3 b2 b1 b b3 b2 b1b b3 b2 b1b b3 b2b1 b b3 b2b1 b b3 b2b1b b3 b2b1b b3b2 b1 b b3b2 b1 b0 A b3b2 b1b0 b b3b2 b1b0 C b3b2b1 b0 d b3b2b1 b0 E b3b2b1b0 F b3b2b1b0 Makes the seven seg display 0 Use Verilog design entry. Use the project name SevenSeg. Method 1 Sum of Products (SOP) Boolean Equations One may simply write the Sum of Products (SOP) Boolean equations for S0..S7 from the truth table and enter the equations into Quartus using Verilog. For example, S0 = (1,4,b,d) = b3 b2 b1 b0 + b3 b2b1 b0 + b3b2 b1b0 + b3b2b1 b0. The Verilog for this is shown below. Note that it is not necessary to simplify the equations using K-maps. You can essentially just enter the unsimplified SOP minterms. The following Verilog template can be used as a guide. Note that for convenience we can declare all 4 bits of B as a group using the syntax [3:0] B. In your equations, reference individual bits using B[0] and so forth. Below is a partial solution, you would need to complete the Verilog by entering the remaining SOP expression for S[1] through S[6]. // A 4-Bit Binary to Seven-Segment Decoder module SevenSeg (B, S); input [3:0] B; // declare a set of 4 inputs wires output [6:0] S; // declare 7 bit output to drive seven segment LED // SOP equations from the truth table // First one is done for you, you determine the rest assign S[0] = ~B[3] & ~B[2] & ~B[1] & B[0] ~B[3] & B[2] & ~B[1] & ~B[0] B[3] & ~B[2] & B[1] & B[0] B[3] & B[2] & ~B[1] & B[0]; // etc... all the way to assign S[6] endmodule Engineering 303 Lab 4 Folsom Lake College Page 2 of 9

3 Method 2 Use a Case statement to create a Verilog design Another method that we will learn about latter in the class is to use a case statement. The case statement is recommended as it reduces the likely hood of a typographic errors common with the SOP method. The case statement checks the value of B and ouputs the binary value specified in the list. In Verilog case statements must appear inside always statements which we will study latter in the class. Make sure to include the endcase clause before endmodule. Below is a parial solution Verilog code that you will need to complete. // A 4-Bit Binary to Seven-Segment Decoder module SevenSeg (B, S); input [3:0] B; // declare a set of 4 inputs wires output reg[6:0] S; // declare 7bit output register to drive seven segment LED // Binary output from the truth table // First and last two are done for you, you determine the rest always@(*) case(b) 0: S=7 b100_0000; //binary value from truth table 1: S=7 b111_1001; //etc.. complete the rest of the case statements for : S=7 b000_0110; 15: S=7 b000_1110; endcase endmodule Use either method to create a Verilog design for the seven segment decoder. Simulate your design. When simulating, be sure to group your inputs in descending order from "most significant bit" (msb) to "least significant bit" (lsb), as shown. Drag and drop signals to arrange them. You will need to use 16 x 0.1us = 1.6 us end time to test all sixteen of your inputs. Verify that your waveform matches your truth table. This is an important design that you will be using the rest of the semester. Make sure it works!! Program your design to the DE2 board using the following pin outs and verify the LED displays the correct values 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, A, b, C, d, E, F. In your report, include the Verilog design and simulation waveforms. Signal Name DE2 Name DE2 Pin DE2-115 Pin S[0] HEX0[0] PIN_AF10 PIN_G18 S[1] HEX0[1] PIN_AB12 PIN_F22 S[2] HEX0[2] PIN_AC12 PIN_E17 S[3] HEX0[3] PIN_AD11 PIN_L26 S[4] HEX0[4] PIN_AE11 PIN_L25 S[5] HEX0[5] PIN_V14 PIN_J22 S[6] HEX0[6] PIN_V13 PIN_H22 Engineering 303 Lab 4 Folsom Lake College Page 3 of 9

4 LAB 4 SIGNAL DE2 Name DE2 Pin DE2-115 Pin B[0] SW[0] PIN_N25 PIN_AB28 B[1] SW[1] PIN_N26 PIN_AC28 B[2] SW[2] PIN_P25 PIN_AC27 B[3] SW[3] PIN_AE14 PIN_AD27 Part 1 A Full Adder Block Diagram Simulation Use a block diagram design to implement the full adder circuit shown below. Use the project name FullAdder. This circuit adds 3 bits to produce a 2-bit binary sum. For example, the last row shows 1+1+1=11, binary 3. Inputs A B Carry In Outputs Carry- Out Sum Simulate your design and verify that the waveform matches the truth table. Include the design and your results in the lab report. Part 2 Heirarchical Design Alpha - A Ripple Carry Adder Implement an 8-bit serial adder composed of full-adder modules, from Part 1 of this lab, chained together. This circuit will add 2 numbers A and B, where each number is an 8-bit value between (decimal 0, hex 00) and (decimal 255, hex FF). Below is a diagram for a 4-bit version. Implement the 8-bit version, given in the detailed Quartus block diagram at the end of this document. Engineering 303 Lab 4 Folsom Lake College Page 4 of 9

5 4-bit Ripple Carry Adder The RippleAdder adder module uses the FullAdder module from Part 1 of this lab. This technique is known as "instantiation". Instantiation is how we implement complex heirachical design. Hint: Review Part 2-Qartus II Tutorial: Hierarchical Diagram Design from lab 1 before you continue. Create the symbol files from the FullAdder project you made in the previous part. Then create a new Quartus project for the RippleAdder, named RippleAdder8bit, and reference the Full Adder project in the Project Library. Then you will be able to insert the FullAdder into your RippleCarry adder project file. Helpful Hint: Insert an initial single instance of the FullAdder then add the input and outputs and label s0, a0, b0. Select all and copy (CNTL-C) and paste (CNTL-V) and Quartus will auto increment the I/O names to s1, a1, b1. Repeat and place one a top the other until you have a total of eight instances. Now connect cout to cin as shown and complete the design. When testing the waveform, make sure A, B and S bits are arranged from MSB to LSB (top to bottom). For example A=(A7,A6,A5,A4,A3,A2,A1,A0), B=(B7,B6,B5,B4,B3,B2,B1,B0) and SUM=(Cout, S7,S6,S5,S4,S3,S2,S1,S0). Drag and drop to arrange the signals in order then group and subgroup the signals as shown. Make sure to include Cout as the MSB of SUM. Change the radix from Binary to unsigned decimal to simplify reading. Set the step size to 100 or 200nS to accommodate for the propogation delay of a ripple carry adder. For our purposes we need only simulate a subset for verification before testing the final design on the DE2 board. For example, you can test A = 2, 3 and set count on B to range 0 to 255. Set Cin to logic zero before simulating. Here is a section of the waveform showing = 39. Seems to work, but you should also examine your waveform carefully for several other values. Include the diagram and sample waveform segment in the lab report. Engineering 303 Lab 4 Folsom Lake College Page 5 of 9

6 Part 3 Heirarchical Design - Ripple Carry Adder with Hex Display Hexadecimal display is useful when testing larger designs on the DE2 board. Use a block diagram design to combine the seven segment decoder and the ripple carry adder. The final circuit will display the hexadecimal equivalent of the binary adder output. You will create a new RippleCarry8bitDecode project, and reference the subprojects (and the subsubproject) using the Project Library. Remember to export the symbol files from the subprojects first. You must include the three subprojects SevenSeg, FullAdder, and RippleAdder8bit. The design shown below uses a bus to group wires together. A bus is just a set of wires. Use the Orthogonal Bus Tool to draw buses to connect things. Use the Orthogonal Node Tool to draw single wires to connect to the bus. Single wires are connected to a bus by name matching (see Hint). Be sure to ground the carryin (Cin) input using the GND symbol. Helpful Hint: Select the wire to be named then right click and select properties then enter the name (eg A[0]) and then select OK. To name a bus do the same although use a bus naming (eg Sum[7..0]). Name buses and individual wires as shown below so that things are hooked up correctly. For testing on hardware, it is often usefull to run some internal signals out of the design so that we can look at their values on the hardware. These extra output signals are sometimes called hooks. For this design the adder outputs S[7..0] have been run out as hooks. Connect all the module outputs including to DE2 pins. Enter, compile, simulate, verify the design given below. Fully document all this in your report. The waveform would look something like shown below. You should check the output of a few sample input sequences on the waveform before you download to DE2 hardware. But, it is actually much easier to test this design on the DE2 device than it is with the waveform. Engineering 303 Lab 4 Folsom Lake College Page 6 of 9

7 You must demo this part so you will need to assign pins and download to DE2 hardware. For your convenience, here are the pins for the 0 th and 1 st hex displays: Signal Name DE2 Pin DE2-115 Pin HEX0[0] PIN_AF10 PIN_G18 HEX0[1] PIN_AB12 PIN_F22 HEX0[2] PIN_AC12 PIN_E17 HEX0[3] PIN_AD11 PIN_L26 HEX0[4] PIN_AE11 PIN_L25 HEX0[5] PIN_V14 PIN_J22 HEX0[6] PIN_V13 PIN_H22 HEX1[0] PIN_V20 PIN_M24 HEX1[1] PIN_V21 PIN_Y22 HEX1[2] PIN_W21 PIN_W21 HEX1[3] PIN_Y22 PIN_W22 HEX1[4] PIN_AA24 PIN_W25 HEX1[5] PIN_AA23 PIN_U23 HEX1[6] PIN_AB24 PIN_U24 Engineering 303 Lab 4 Folsom Lake College Page 7 of 9

8 Multibit values must be displayed left-to-right, from most-significant-bit (msb) to least-significant-bit (lsb). Use the following pins for the OUTPUT Sum[7..0] LAB 4 SIGNAL DE2 Name DE2 Pin DE2-115 Pin Sum[0] LEDR[0] PIN_AE23 PIN_G19 Sum[1] LEDR[1] PIN_AF23 PIN_F19 Sum[2] LEDR[2] PIN_AB21 PIN_E19 Sum[3] LEDR[3] PIN_AC22 PIN_F21 Sum[4] LEDR[4] PIN_AD22 PIN_F18 Sum[5] LEDR[5] PIN_AD23 PIN_E18 Sum[6] LEDR[6] PIN_AD21 PIN_J19 Sum[7] LEDR[7] PIN_AC21 PIN_H19 Use the following pins for INPUTS A and B LAB 4 SIGNAL DE2 Name DE2 Pin DE2-115 Pin A[0] SW[0] PIN_N25 PIN_AB28 A[1] SW[1] PIN_N26 PIN_AC28 A[2] SW[2] PIN_P25 PIN_AC27 A[3] SW[3] PIN_AE14 PIN_AD27 A[4] SW[4] PIN_AF14 PIN_AB27 A[5] SW[5] PIN_AD13 PIN_AC26 A[6] SW[6] PIN_AC13 PIN_AD26 A[7] SW[7] PIN_C13 PIN_AB26 B[0] SW[8] PIN_B13 PIN_AC25 B[1] SW[9] PIN_A13 PIN_AB25 B[2] SW[10] PIN_N1 PIN_AC24 B[3] SW[11] PIN_P1 PIN_AB24 B[4] SW[12] PIN_P2 PIN_AB23 B[5] SW[13] PIN_T7 PIN_AA24 B[6] SW[14] PIN_U3 PIN_AA23 B[7] SW[15] PIN_U4 PIN_AA22 Demonstrate your RippleAdder with seven segment display to the instructor. Engineering 303 Lab 4 Folsom Lake College Page 8 of 9

9 Ripple Carry 8-Bit Adder Design Engineering 303 Lab 4 Folsom Lake College Page 9 of 9

Engineering 303 Digital Logic Design Fall 2018

Engineering 303 Digital Logic Design Fall 2018 Engineering 303 Digital Logic Design Fall 2018 LAB 4: Seven Seg, Full Adder, Ripple Adder, Heirarchical Design Build the following designs and verify correct operation. This lab uses hierarchical design.

More information

Appendix C: DE2 Pin Assignments

Appendix C: DE2 Pin Assignments Appendix C: DE2 Pin Assignments The most commonly used DE2 pin assignments are given in tables that follow, both for the standard DE2 board (with the EP2C35 FPGA) and the DE2-70 (with the EP2C70 FPGA).

More information

TESTING ON THE DE2 BOARD

TESTING ON THE DE2 BOARD TESTING ON THE DE2 BOARD September 18 th, 2007 CSC343 Fall 2007 Prepared by: Steven Medina PURPOSE The DE2 board is a programmable board with an FPGA chip attached. FPGA stands for Field Programmable Gate

More information

Datasheet for Nios II Processor (nios2_r1c) v.3, July Processor Details GENERATION SYSID

Datasheet for Nios II Processor (nios2_r1c) v.3, July Processor Details GENERATION SYSID Processor Details NAME "cpu_r1" FREQ 50000000 RESET_ADDR 0x0 EXCEPTION_ADDR 0x20 IMPLEMENTATION "small" ARCHITECTURE "altera_nios2" Instruction cache Data cache Little Endian HARDWARE_DIVIDE_PRESENT HARDWARE_MULTIPLY_PRESENT

More information

EMT1250 LABORATORY EXPERIMENT. EXPERIMENT # 10: Implementing Binary Adders. Name: Date:

EMT1250 LABORATORY EXPERIMENT. EXPERIMENT # 10: Implementing Binary Adders. Name: Date: EXPERIMENT # 10: Implementing Binary Adders Name: Date: Equipment/Parts Needed: PC (Altera Quartus II V9.1 installed) DE-2 board Objective: Design a half adder by extracting the Boolean equation from a

More information

Advanced Electronics Lab.

Advanced Electronics Lab. College of Engineering Course Book of 2010-2011 Advanced Electronics Lab. Mr. Araz Sabir Ameen M.Sc. in Electronics & Communications ALTERA DE2 Development and Education Board DE2 Package: The DE2 package

More information

Labsheet6: Arithmetic Circuits Simulation

Labsheet6: Arithmetic Circuits Simulation University of Jordan Faculty of Engineering and Technology Department of Computer Engineering Digital Logic Laboratory 0907234 Labsheet6: Arithmetic Circuits Simulation Name: Student ID: Section: Figure1.

More information

Engr 303 Digital Logic Design Fall 2018

Engr 303 Digital Logic Design Fall 2018 Engr 303 Digital Logic Design Fall 2018 LAB 14 Single Cycle Computer You will implement the single cycle computer given in Figure 8-15 of the Chapter 8 handout. Implement these designs, compile, simulate,

More information

MPLEMENTATION. Part 1: Implementation of the TOC on the DE2 Board using Verilog - Performed in Lab #1

MPLEMENTATION. Part 1: Implementation of the TOC on the DE2 Board using Verilog - Performed in Lab #1 ERILOG ESCRIPTION AND MPLEMENTATION OF THE ASIC Part 1: Implementation of the TOC on the DE2 Board using Verilog - Performed in Lab #1 Part 2: Extend the TOC to Achieve a 4-Bit Processor - Done in Other

More information

Laboratory Exercise 1

Laboratory Exercise 1 Laboratory Exercise 1 Switches, Lights, and Multiplexers The purpose of this exercise is to learn how to connect simple input and output devices to an FPGA chip and implement a circuit that uses these

More information

Experiment 7 Arithmetic Circuits Design and Implementation

Experiment 7 Arithmetic Circuits Design and Implementation Experiment 7 Arithmetic Circuits Design and Implementation Introduction: Addition is just what you would expect in computers. Digits are added bit by bit from right to left, with carries passed to the

More information

Chapter 1 Overview General Description Key Features Block Diagram... 6

Chapter 1 Overview General Description Key Features Block Diagram... 6 1 CONTENTS Chapter 1 Overview... 4 1.1 General Description... 4 1.2 Key Features... 5 1.3 Block Diagram... 6 Chapter 2 Board Components... 9 2.1 Board Overview... 9 2.2 Configuration, Status and Setup...

More information

Lab 3: Standard Combinational Components

Lab 3: Standard Combinational Components Lab 3: Standard Combinational Components Purpose In this lab you will implement several combinational circuits on the DE1 development board to test and verify their operations. Introduction Using a high-level

More information

Altera DE1 Board DE1. Development and Education Board. User Manual. Copyright 2006 Altera Corporation

Altera DE1 Board DE1. Development and Education Board. User Manual. Copyright 2006 Altera Corporation Altera DE1 Board DE1 Development and Education Board User Manual Version 1.1 Copyright 2006 Altera Corporation Chapter 2 Altera DE1 Board This chapter presents the features and design characteristics of

More information

Chapter 1 DE2-115 Package Package Contents The DE2-115 Board Assembly Getting Help... 6

Chapter 1 DE2-115 Package Package Contents The DE2-115 Board Assembly Getting Help... 6 1 CONTENTS Chapter 1 DE2-115 Package... 4 1.1 Package Contents... 4 1.2 The DE2-115 Board Assembly... 5 1.3 Getting Help... 6 Chapter 2 Introduction of the Altera DE2-115 Board... 7 2.1 Layout and Components...

More information

Chapter Chapter Chapter General Description Key Features Block Diagram... 7

Chapter Chapter Chapter General Description Key Features Block Diagram... 7 1 Chapter 1... 5 1.1 General Description... 5 1.2 Key Features... 6 1.3 Block Diagram... 7 Chapter 2... 10 Board Components... 10 2.1 Board Overview... 10 2.2 Configuration, Status and Setup... 11 2.3

More information

Quartus II Introduction Using Verilog Designs. 1 Introduction. For Quartus II 12.0

Quartus II Introduction Using Verilog Designs. 1 Introduction. For Quartus II 12.0 Quartus II Introduction Using Verilog Designs For Quartus II 12.0 1 Introduction This tutorial presents an introduction to the Quartus II CAD system. It gives a general overview of a typical CAD flow for

More information

1 Introduction 2. 2 Background 3. 3 Getting Started 4. 4 Starting a New Project 6. 5 Design Entry Using VHDL Code 13

1 Introduction 2. 2 Background 3. 3 Getting Started 4. 4 Starting a New Project 6. 5 Design Entry Using VHDL Code 13 Quartus Prime Introduction Using VHDL Designs For Quartus Prime 17.0 Contents 1 Introduction 2 2 Background 3 3 Getting Started 4 3.1 Quartus Prime Online Help................................................................................................

More information

Tutorial 3. Appendix D. D.1 Design Using Verilog Code. The Ripple-Carry Adder Code. Functional Simulation

Tutorial 3. Appendix D. D.1 Design Using Verilog Code. The Ripple-Carry Adder Code. Functional Simulation Appendix D Tutorial 3 This tutorial introduces more advanced capabilities of the Quartus II system. We show how Verilog code is organized and compiled and illustrate how multibit signals are represented

More information

Tutorial on Quartus II Introduction Using Verilog Code

Tutorial on Quartus II Introduction Using Verilog Code Tutorial on Quartus II Introduction Using Verilog Code (Version 15) 1 Introduction This tutorial presents an introduction to the Quartus II CAD system. It gives a general overview of a typical CAD flow

More information

Engineering 303 Digital Logic Design Spring 2017

Engineering 303 Digital Logic Design Spring 2017 Engineering 303 Digital Logic Design Spring 2017 LAB 1 Introduction to Combo Logic and Quartus Deliverables: 0) A Simple Verilog Combinatorial Circuit 1) A Simple Block Diagram Combinatorial Circuit 2)

More information

E85: Digital Design and Computer Engineering Lab 2: FPGA Tools and Combinatorial Logic Design

E85: Digital Design and Computer Engineering Lab 2: FPGA Tools and Combinatorial Logic Design E85: Digital Design and Computer Engineering Lab 2: FPGA Tools and Combinatorial Logic Design Objective The purpose of this lab is to learn to use Field Programmable Gate Array (FPGA) tools to simulate

More information

ENEE245 Digital Circuits and Systems Lab Manual

ENEE245 Digital Circuits and Systems Lab Manual ENEE245 Digital Circuits and Systems Lab Manual Department of Engineering, Physical & Computer Sciences Montgomery College Modified Fall 2017 Copyright Prof. Lan Xiang (Do not distribute without permission)

More information

Combinational Verilog Intro. EECS 270 Labs

Combinational Verilog Intro. EECS 270 Labs Combinational Verilog Intro EECS 270 Labs From Schematics to Verilog https://www.engineersgarage.com/articles/field-programmabl e-gate-arrays-fpga https://www.altera.com/content/dam/altera-www/global/en

More information

ECE 152A LABORATORY 2

ECE 152A LABORATORY 2 ECE 152A LABORATORY 2 Objectives : 1. Understand the trade-off between time- and space-efficiency in the design of adders. In this lab, adders operate on unsigned numbers. 2. Learn how to write Verilog

More information

EET 1131 Lab #7 Arithmetic Circuits

EET 1131 Lab #7 Arithmetic Circuits Name Equipment and Components Safety glasses ETS-7000 Digital-Analog Training System Integrated Circuits: 7483, 74181 Quartus II software and Altera DE2-115 board Multisim simulation software EET 1131

More information

Tutorial 2 Implementing Circuits in Altera Devices

Tutorial 2 Implementing Circuits in Altera Devices Appendix C Tutorial 2 Implementing Circuits in Altera Devices In this tutorial we describe how to use the physical design tools in Quartus II. In addition to the modules used in Tutorial 1, the following

More information

Experiment 8 Introduction to VHDL

Experiment 8 Introduction to VHDL Experiment 8 Introduction to VHDL Objectives: Upon completion of this laboratory exercise, you should be able to: Enter a simple combinational logic circuit in VHDL using the Quartus II Text Editor. Assign

More information

ENEE245 Digital Circuits and Systems Lab Manual

ENEE245 Digital Circuits and Systems Lab Manual ENEE245 Digital Circuits and Systems Lab Manual Department of Engineering, Physical & Computer Sciences Montgomery College Version 1.1 Copyright Prof. Lan Xiang (Do not distribute without permission) 1

More information

Digital Electronics & Computer Engineering (E85)

Digital Electronics & Computer Engineering (E85) Digital Electronics & Computer Engineering (E85) Lab 5: 32-bit ALU Introduction In this lab, you will build the 32-bit Arithmetic Logic Unit (ALU) that is described in your book in Section 4.5. Your ALU

More information

EXPERIMENT NUMBER 7 HIERARCHICAL DESIGN OF A FOUR BIT ADDER (EDA-2)

EXPERIMENT NUMBER 7 HIERARCHICAL DESIGN OF A FOUR BIT ADDER (EDA-2) 7-1 EXPERIMENT NUMBER 7 HIERARCHICAL DESIGN OF A FOUR BIT ADDER (EDA-2) Purpose The purpose of this exercise is to explore more advanced features of schematic based design. In particular you will go through

More information

Chapter 1 PCI Package Package contents Getting Help Revision History...2. Chapter 2 Introduction...3

Chapter 1 PCI Package Package contents Getting Help Revision History...2. Chapter 2 Introduction...3 i Terasic PCI-X Development Board CONTENTS Chapter 1 PCI Package...1 1.1 Package contents...1 1.2 Getting Help...1 1.3 Revision History...2 Chapter 2 Introduction...3 2.1 General Description...3 2.2 Layout

More information

University of California, Davis Department of Electrical and Computer Engineering. Lab 1: Implementing Combinational Logic in the MAX10 FPGA

University of California, Davis Department of Electrical and Computer Engineering. Lab 1: Implementing Combinational Logic in the MAX10 FPGA 1 University of California, Davis Department of Electrical and Computer Engineering EEC180B DIGITAL SYSTEMS II Winter Quarter 2018 Lab 1: Implementing Combinational Logic in the MAX10 FPGA Objective: This

More information

Numbering Systems. Number Representations Part 1

Numbering Systems. Number Representations Part 1 Introduction Verilog HDL modeling language allows numbers being represented in several radix systems. The underlying circuit processes the number in binary, however, input into and output from such circuits

More information

QUARTUS II Altera Corporation

QUARTUS II Altera Corporation QUARTUS II Quartus II Design Flow Design Entry Timing Constraints Synthesis Placement and Routing Timing, Area, Power Optimization Timing and Power Analyzer Optimized Design 2 Can I still use a Processor?

More information

DE10-Lite User Manual

DE10-Lite User Manual 1 www.terasic.com CONTENTS Chapter 1 Introduction... 3 1. 1 Package Contents... 3 1. 2 System CD... 4 1. 3 Layout and Components... 4 1. 4 Block Diagram of the Board... 6 1. 5 Getting Help... 7 Chapter

More information

Altera DE2 Board DE2. Development and Education Board. User Manual. Copyright 2007 Altera Corporation

Altera DE2 Board DE2. Development and Education Board. User Manual. Copyright 2007 Altera Corporation Altera DE2 Board DE2 Development and Education Board User Manual Version 1.41 Copyright 2007 Altera Corporation Altera DE2 Board CONTENTS Chapter 1 DE2 Package...1 1.1 Package Contents...1 1.2 The DE2

More information

DIGITAL SYSTEM DESIGN

DIGITAL SYSTEM DESIGN DIGITAL SYSTEM DESIGN Prepared By: Engr. Yousaf Hameed Lab Engineer BASIC ELECTRICAL & DIGITAL SYSTEMS LAB DEPARTMENT OF ELECTRICAL ENGINEERING Digital System Design 1 Name: Registration No: Roll No: Semester:

More information

1 OpenVINO Starter Kit User Manual March 15, 2019

1   OpenVINO Starter Kit User Manual March 15, 2019 1 Contents Chapter 1 OpenVINO Starter Kit... 4 1.1 Package Contents... 4 1.2 OpenVINO Starter Kit System CD... 5 1.3 Getting Help... 5 Chapter 2 Introduction of the OpenVINO Starter Kit... 6 2.1 Layout

More information

1.1 Layout and Components Block Diagram of the DE2i-150 Board Control Panel Setup Switches and Push-buttons...

1.1 Layout and Components Block Diagram of the DE2i-150 Board Control Panel Setup Switches and Push-buttons... 1 CONTENTS CHAPTER 1 INTRODUCTION OF THE FPGA SYSTEM OF DE2I-150 BOARD... 3 1.1 Layout and Components... 3 1.2 Block Diagram of the DE2i-150 Board... 5 CHAPTER 2 DE2I-150 CONTROL PANEL... 8 2.1 Control

More information

Chapter 6 Combinational-Circuit Building Blocks

Chapter 6 Combinational-Circuit Building Blocks Chapter 6 Combinational-Circuit Building Blocks Commonly used combinational building blocks in design of large circuits: Multiplexers Decoders Encoders Comparators Arithmetic circuits Multiplexers A multiplexer

More information

Copyright 2009 Terasic Technologies

Copyright 2009 Terasic Technologies Altera DE-70 Board Version.08 Copyright 009 Terasic Technologies Altera DE-70 Board CONTENTS Chapter DE-70 Package.... Package Contents.... The DE-70 Board Assembly.... Getting Help... Chapter Altera DE-70

More information

Experiment 18 Full Adder and Parallel Binary Adder

Experiment 18 Full Adder and Parallel Binary Adder Objectives Experiment 18 Full Adder and Parallel Binary Adder Upon completion of this laboratory exercise, you should be able to: Create and simulate a full adder in VHDL, assign pins to the design, and

More information

CPE 200L LABORATORY 4: INTRODUCTION TO DE2 BOARD UNIVERSITY OF NEVADA, LAS VEGAS GOALS: BACKGROUND:

CPE 200L LABORATORY 4: INTRODUCTION TO DE2 BOARD UNIVERSITY OF NEVADA, LAS VEGAS GOALS: BACKGROUND: CPE 200L LABORATORY 4: INTRODUCTION TO DE2 BOARD DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING UNIVERSITY OF NEVADA, LAS VEGAS GOALS: Getting familiar with DE2 board installation, properties, usage.

More information

Chapter Three. Digital Components

Chapter Three. Digital Components Chapter Three 3.1. Combinational Circuit A combinational circuit is a connected arrangement of logic gates with a set of inputs and outputs. The binary values of the outputs are a function of the binary

More information

CPEN 230L: Introduction to Digital Logic Laboratory Lab #6: Verilog and ModelSim

CPEN 230L: Introduction to Digital Logic Laboratory Lab #6: Verilog and ModelSim CPEN 230L: Introduction to Digital Logic Laboratory Lab #6: Verilog and ModelSim Purpose Define logic expressions in Verilog using register transfer level (RTL) and structural models. Use Quartus II to

More information

Name EGR 2131 Lab #6 Number Representation and Arithmetic Circuits

Name EGR 2131 Lab #6 Number Representation and Arithmetic Circuits Name EGR 2131 Lab #6 Number Representation and Arithmetic Circuits Equipment and Components Quartus software and Altera DE2-115 board PART 1: Number Representation in Microsoft Calculator. First, let s

More information

Experiment 9: Binary Arithmetic Circuits. In-Lab Procedure and Report (30 points)

Experiment 9: Binary Arithmetic Circuits. In-Lab Procedure and Report (30 points) ELEC 2010 Laboratory Manual Experiment 9 In-Lab Procedure Page 1 of 7 Experiment 9: Binary Arithmetic Circuits In-Lab Procedure and Report (30 points) Before starting the procedure, record the table number

More information

1 TR10a-LPQ User Manual December 10, 2018

1 TR10a-LPQ User Manual   December 10, 2018 1 CONTENTS Chapter 1 Overview... 4 1.1 General Description... 4 1.2 Key Features... 5 1.3 Block Diagram... 6 Chapter 2 Board Components... 9 2.1 Board Overview... 9 2.2 Configuration, Status and Setup...

More information

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL Comp 541 Digital Logic and Computer Design Spring 2012 Lab #2: Hierarchical Design & Verilog Practice Issued Fri. 1/27/12; Due Wed 2/1/12 (beginning of class)

More information

EE 8351 Digital Logic Circuits Ms.J.Jayaudhaya, ASP/EEE

EE 8351 Digital Logic Circuits Ms.J.Jayaudhaya, ASP/EEE EE 8351 Digital Logic Circuits Ms.J.Jayaudhaya, ASP/EEE 1 Logic circuits for digital systems may be combinational or sequential. A combinational circuit consists of input variables, logic gates, and output

More information

1.1 Features Block Diagram of the DE0-Nano Board Power-up the DE0-Nano Board Configuring the Cyclone IV FPGA...

1.1 Features Block Diagram of the DE0-Nano Board Power-up the DE0-Nano Board Configuring the Cyclone IV FPGA... 1 CONTENTS CHAPTER 1 INTRODUCTION... 5 1.1 Features...5 1.2 About the KIT...7 1.3 Getting Help...7 CHAPTER 2 DE0-NANO BOARD ARCHITECTURE... 8 2.1 Layout and Components...8 2.2 Block Diagram of the DE0-Nano

More information

Tutorial: Pattern Wizard

Tutorial: Pattern Wizard University of Pennsylvania Department of Electrical and Systems Engineering Digital Design Laboratory Tutorial: Pattern Wizard When assigning values to a bus in Xilinx during the behavioral simulation,

More information

Tutorial on Quartus II Introduction Using Schematic Designs

Tutorial on Quartus II Introduction Using Schematic Designs Tutorial on Quartus II Introduction Using Schematic Designs (Version 15) 1 Introduction This tutorial presents an introduction to the Quartus II CAD system. It gives a general overview of a typical CAD

More information

FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1

FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1 FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1 Anurag Dwivedi Digital Design : Bottom Up Approach Basic Block - Gates Digital Design : Bottom Up Approach Gates -> Flip Flops Digital

More information

FPGA: FIELD PROGRAMMABLE GATE ARRAY Verilog: a hardware description language. Reference: [1]

FPGA: FIELD PROGRAMMABLE GATE ARRAY Verilog: a hardware description language. Reference: [1] FPGA: FIELD PROGRAMMABLE GATE ARRAY Verilog: a hardware description language Reference: [] FIELD PROGRAMMABLE GATE ARRAY FPGA is a hardware logic device that is programmable Logic functions may be programmed

More information

Introduction to Verilog

Introduction to Verilog Introduction to Verilog Structure of a Verilog Program A Verilog program is structured as a set of modules, which may represent anything from a collection of logic gates to a complete system. A module

More information

SCHEMATIC DESIGN IN QUARTUS

SCHEMATIC DESIGN IN QUARTUS SCHEMATIC DESIGN IN QUARTUS Consider the design of a three-bit prime number detector. Figure 1 shows the block diagram and truth table. The inputs are binary signals A, B, and C while the output is binary

More information

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL Comp 541 Digital Logic and Computer Design Prof. Montek Singh Spring 2018 Lab #2A: Hierarchical Design & Verilog Practice Issued Wed 1/17/18; Due Wed 1/24/18

More information

ECE2029: Introduction to Digital Circuit Design. Lab 2 Implementing Combinational Functional Blocks

ECE2029: Introduction to Digital Circuit Design. Lab 2 Implementing Combinational Functional Blocks ECE2029: Introduction to Digital Circuit Design Lab 2 Implementing Combinational Functional Blocks Objective: In this lab exercise you will simulate, test, and download various digital circuits which implement

More information

Digital Fundamentals. Lab 6 2 s Complement / Digital Calculator

Digital Fundamentals. Lab 6 2 s Complement / Digital Calculator Richland College Engineering Technology Rev. 0. Donham Rev. 1 (7/2003) J. Horne Rev. 2 (1/2008) J. radbury Digital Fundamentals CETT 1425 Lab 6 2 s Complement / Digital Calculator Name: Date: Objectives:

More information

1 Manual

1   Manual 1 www.terasic.com CONTENTS CHAPTER 1 OVERVIEW... 4 1.1 GENERAL DESCRIPTION... 4 1.2 KEY FEATURES... 4 1.3 BLOCK DIAGRAM... 6 CHAPTER 2 BOARD COMPONENTS... 9 2.1 BOARD OVERVIEW... 9 2.2 CONFIGURATION, STATUS

More information

EET2141 Project 2: Binary Adder Using Xilinx 7.1i Due Friday April 25

EET2141 Project 2: Binary Adder Using Xilinx 7.1i Due Friday April 25 EET2141 Project 2: Binary Adder Using Xilinx 7.1i Due Friday April 25 Introduction This Xilinx project introduces the characteristics of the ripple carry adder. From the last project, you learned that

More information

VeriLogger Tutorial: Basic Verilog Simulation

VeriLogger Tutorial: Basic Verilog Simulation VeriLogger Tutorial: Basic Verilog Simulation This tutorial demonstrates the basic simulation features of VeriLogger Pro. It teaches you how to create and manage a project and how to build, simulate, and

More information

ECSE-323 Digital System Design. Lab #1 Using the Altera Quartus II Software Fall 2008

ECSE-323 Digital System Design. Lab #1 Using the Altera Quartus II Software Fall 2008 1 ECSE-323 Digital System Design Lab #1 Using the Altera Quartus II Software Fall 2008 2 Introduction. In this lab you will learn the basics of the Altera Quartus II FPGA design software through following

More information

IR Receiver Board Reference Manual System Level Solutions, Inc. (USA) Murphy Avenue San Martin, CA (408)

IR Receiver Board Reference Manual System Level Solutions, Inc. (USA) Murphy Avenue San Martin, CA (408) IR Receiver Board Reference Manual, Inc. (USA) 14100 Murphy Avenue San Martin, CA 95046 (408) 852-0067 http://www.slscorp.com Board Version: 2.0 Document Version: 1.4 Document Date: Copyright 2005-2008,,

More information

University of Toronto Faculty of Applied Science and Engineering Edward S. Rogers Sr. Department of Electrical and Computer Engineering

University of Toronto Faculty of Applied Science and Engineering Edward S. Rogers Sr. Department of Electrical and Computer Engineering University of Toronto Faculty of Applied Science and Engineering Edward S. Rogers Sr. Department of Electrical and Computer Engineering Final Examination ECE 241F - Digital Systems Examiners: S. Brown,

More information

PRELAB! Read the entire lab, and complete the prelab questions (Q1-Q3) on the answer sheet before coming to the laboratory.

PRELAB! Read the entire lab, and complete the prelab questions (Q1-Q3) on the answer sheet before coming to the laboratory. PRELAB! Read the entire lab, and complete the prelab questions (Q1-Q3) on the answer sheet before coming to the laboratory. 1.0 Objectives In the last lab we learned that Verilog is a fast and easy way

More information

CMPE223/CMSE222 Digital Logic Design. Positional representation

CMPE223/CMSE222 Digital Logic Design. Positional representation CMPE223/CMSE222 Digital Logic Design Number Representation and Arithmetic Circuits: Number Representation and Unsigned Addition Positional representation First consider integers Begin with positive only

More information

Digital Design with FPGAs. By Neeraj Kulkarni

Digital Design with FPGAs. By Neeraj Kulkarni Digital Design with FPGAs By Neeraj Kulkarni Some Basic Electronics Basic Elements: Gates: And, Or, Nor, Nand, Xor.. Memory elements: Flip Flops, Registers.. Techniques to design a circuit using basic

More information

EXPERIMENT 1. INTRODUCTION TO ALTERA

EXPERIMENT 1. INTRODUCTION TO ALTERA EXPERIMENT 1. INTRODUCTION TO ALTERA I. Introduction I.I Objectives In this experiment, you will learn computer aided digital design and verification of it using Field Programmable Gate Arrays (FPGA).

More information

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL Comp 541 Digital Logic and Computer Design Spring 2015 Lab #2: Hierarchical Design & Verilog Practice Issued Wed. 1/14/15; Due Wed. 1/21/15 (11:59pm) This

More information

To design a 4-bit ALU To experimentally check the operation of the ALU

To design a 4-bit ALU To experimentally check the operation of the ALU 1 Experiment # 11 Design and Implementation of a 4 - bit ALU Objectives: The objectives of this lab are: To design a 4-bit ALU To experimentally check the operation of the ALU Overview An Arithmetic Logic

More information

structure syntax different levels of abstraction

structure syntax different levels of abstraction This and the next lectures are about Verilog HDL, which, together with another language VHDL, are the most popular hardware languages used in industry. Verilog is only a tool; this course is about digital

More information

Here is a list of lecture objectives. They are provided for you to reflect on what you are supposed to learn, rather than an introduction to this

Here is a list of lecture objectives. They are provided for you to reflect on what you are supposed to learn, rather than an introduction to this This and the next lectures are about Verilog HDL, which, together with another language VHDL, are the most popular hardware languages used in industry. Verilog is only a tool; this course is about digital

More information

CSCB58 - Lab 3. Prelab /3 Part I (in-lab) /2 Part II (in-lab) /2 TOTAL /8

CSCB58 - Lab 3. Prelab /3 Part I (in-lab) /2 Part II (in-lab) /2 TOTAL /8 CSCB58 - Lab 3 Latches, Flip-flops, and Registers Learning Objectives The purpose of this exercise is to investigate the fundamental synchronous logic elements: latches, flip-flops, and registers. Prelab

More information

Introduction to Verilog and XILINX

Introduction to Verilog and XILINX DEPARTAMENTO DE TECNOLOGÍA ELECTRÓNICA ESCUELA TÉCNICA SUPERIOR DE INGENIERÍA INFORMÁTICA Introduction to Verilog and XILINX Lab Session Computer Structure WARNING: A written solution of the preliminary

More information

CPEN 230L: Introduction to Digital Logic Laboratory Lab 7: Multiplexers, Decoders, and Seven Segment Displays

CPEN 230L: Introduction to Digital Logic Laboratory Lab 7: Multiplexers, Decoders, and Seven Segment Displays CPEN 230L: Introduction to Digital Logic Laboratory Lab 7: Multiplexers, Decoders, and Seven Segment Displays Purpose Learn about multiplexers (MUXs), decoders and seven segment displays. Learn about hierarchical

More information

1.1 Package Contents DE1-SoC System CD Getting Help Layout and Components... 6

1.1 Package Contents DE1-SoC System CD Getting Help Layout and Components... 6 DE1-SoC User Manual 1 www.terasic.com CONTENTS CHAPTER 1 DE1-SOC DEVELOPMENT KIT... 4 1.1 Package Contents... 4 1.2 DE1-SoC System CD... 5 1.3 Getting Help... 5 CHAPTER 2 INTRODUCTION OF THE DE1-SOC BOARD...

More information

CME341 Laboratory Manual

CME341 Laboratory Manual CME341 Laboratory Manual Created by Eric Salt Created June 30, 2012 Revised Nov. 30, 2012 Revised Dec. 13, 2012 (to end of Lab 2) Revised Dec. 18, 2012 (to end of Lab 3) Revised Jan. 13, 2013 (to end of

More information

Code No: R Set No. 1

Code No: R Set No. 1 Code No: R059210504 Set No. 1 II B.Tech I Semester Regular Examinations, November 2007 DIGITAL LOGIC DESIGN ( Common to Computer Science & Engineering, Information Technology and Computer Science & Systems

More information

Week 4 Tutorial: Verilog Primer Part 2. By Steve Engels

Week 4 Tutorial: Verilog Primer Part 2. By Steve Engels Week 4 Tutorial: Verilog Primer Part 2 By Steve Engels Reflections on Verilog By now, you ve seen several elements of the Verilog language, but it s good to put them into perspective again. Verilog is

More information

ECE2029: Introduction to Digital Circuit Design Lab 3 Implementing a 4-bit Four Function ALU

ECE2029: Introduction to Digital Circuit Design Lab 3 Implementing a 4-bit Four Function ALU ECE2029: Introduction to Digital Circuit Design Lab 3 Implementing a 4-bit Four Function ALU Objective: Inside a computer's central processing unit (CPU) there is a sub-block called the arithmetic logic

More information

Copyright 2009 Terasic Technologies

Copyright 2009 Terasic Technologies Altera DE0 Board Version 1.00 Copyright 2009 Terasic Technologies Altera DE0 Board CONTENTS Chapter 1 DE0 Package...1 1.1 Package Contents...1 1.2 The DE0 Board Assembly...2 Getting Help...2 Chapter 2

More information

CHAPTER 1 DE1-SOC DEVELOPMENT KIT... 3 CHAPTER 2 INTRODUCTION OF THE DE1-SOC BOARD... 5 CHAPTER 3 USING THE DE1-SOC BOARD... 10

CHAPTER 1 DE1-SOC DEVELOPMENT KIT... 3 CHAPTER 2 INTRODUCTION OF THE DE1-SOC BOARD... 5 CHAPTER 3 USING THE DE1-SOC BOARD... 10 1 CONTENTS CHAPTER 1 DE1-SOC DEVELOPMENT KIT... 3 1.1 PACKAGE CONTENTS... 3 1.2 DE1-SOC SYSTEM CD... 4 1.3 GETTING HELP... 4 CHAPTER 2 INTRODUCTION OF THE DE1-SOC BOARD... 5 2.1 LAYOUT AND COMPONENTS...

More information

Digital Circuit Design and Language. Datapath Design. Chang, Ik Joon Kyunghee University

Digital Circuit Design and Language. Datapath Design. Chang, Ik Joon Kyunghee University Digital Circuit Design and Language Datapath Design Chang, Ik Joon Kyunghee University Typical Synchronous Design + Control Section : Finite State Machine + Data Section: Adder, Multiplier, Shift Register

More information

Supporting Custom Boards with DSP Builder

Supporting Custom Boards with DSP Builder Supporting Custom Boards with DSP Builder April 2003, ver. 1.0 Application Note 221 Introduction As designs become more complex, verification becomes a critical, time consuming process. To address the

More information

ENEE 245 Lab 1 Report Rubrics

ENEE 245 Lab 1 Report Rubrics ENEE 4 Lab 1 Report Rubrics Design Clearly state the design requirements Derive the minimum SOP Show the circuit implementation. Draw logic diagram and wiring diagram neatly Label all the diagrams/tables

More information

A Verilog Primer. An Overview of Verilog for Digital Design and Simulation

A Verilog Primer. An Overview of Verilog for Digital Design and Simulation A Verilog Primer An Overview of Verilog for Digital Design and Simulation John Wright Vighnesh Iyer Department of Electrical Engineering and Computer Sciences College of Engineering, University of California,

More information

Lab 2 EECE473 Computer Organization & Architecture University of Maine

Lab 2 EECE473 Computer Organization & Architecture University of Maine Lab 2: Verilog Programming Instructor: Yifeng Zhu 50 Points Objectives: 1. Quatus II Programming assignment: PIN assignments, LEDs, switches; 2. Download and test the design on Altera DE2 board 3. Create

More information

Combinational Logic Circuits

Combinational Logic Circuits Combinational Logic Circuits By Dr. M. Hebaishy Digital Logic Design Ch- Rem.!) Types of Logic Circuits Combinational Logic Memoryless Outputs determined by current values of inputs Sequential Logic Has

More information

Laboratory Exercise 7

Laboratory Exercise 7 Laboratory Exercise 7 Finite State Machines This is an exercise in using finite state machines. Part I We wish to implement a finite state machine (FSM) that recognizes two specific sequences of applied

More information

CSEE W4840 Embedded System Design Lab 1

CSEE W4840 Embedded System Design Lab 1 CSEE W4840 Embedded System Design Lab 1 Stephen A. Edwards Due January 31, 2008 Abstract Learn to use the Altera Quartus development envrionment and the DE2 boards by implementing a small hardware design

More information

Lab Manual for COE 203: Digital Design Lab

Lab Manual for COE 203: Digital Design Lab Lab Manual for COE 203: Digital Design Lab 1 Table of Contents 1. Prototyping of Logic Circuits using Discrete Components...3 2. Prototyping of Logic Circuits using EEPROMs...9 3. Introduction to FPGA

More information

Good Evening! Welcome!

Good Evening! Welcome! University of Florida EEL 3701 Fall 2011 Dr Eric M Schwartz Page 1/11 Exam 2 Instructions: Turn off all cell phones, beepers and other noise making devices Show all work on the front of the test papers

More information

Combinational Logic II

Combinational Logic II Combinational Logic II Ranga Rodrigo July 26, 2009 1 Binary Adder-Subtractor Digital computers perform variety of information processing tasks. Among the functions encountered are the various arithmetic

More information

Software Engineering 2DA4. Slides 2: Introduction to Logic Circuits

Software Engineering 2DA4. Slides 2: Introduction to Logic Circuits Software Engineering 2DA4 Slides 2: Introduction to Logic Circuits Dr. Ryan Leduc Department of Computing and Software McMaster University Material based on S. Brown and Z. Vranesic, Fundamentals of Digital

More information

Lab 1 Modular Design and Testbench Simulation ENGIN 341 Advanced Digital Design University of Massachusetts Boston

Lab 1 Modular Design and Testbench Simulation ENGIN 341 Advanced Digital Design University of Massachusetts Boston Lab 1 Modular Design and Testbench Simulation ENGIN 341 Advanced Digital Design University of Massachusetts Boston Introduction This lab introduces the concept of modular design by guiding you through

More information

Module 2.1 Gate-Level/Structural Modeling. UNIT 2: Modeling in Verilog

Module 2.1 Gate-Level/Structural Modeling. UNIT 2: Modeling in Verilog Module 2.1 Gate-Level/Structural Modeling UNIT 2: Modeling in Verilog Module in Verilog A module definition always begins with the keyword module. The module name, port list, port declarations, and optional

More information