Lab 1: Intro to Xilinx 14.7 Tools & Basic VGA CMPE 415 UMBC

Size: px
Start display at page:

Download "Lab 1: Intro to Xilinx 14.7 Tools & Basic VGA CMPE 415 UMBC"

Transcription

1 Lab 1: Intro to Xilinx 14.7 Tools & Basic VGA CMPE 415 UMBC Objective: The objective of this lab is to learn the fundamental components of the Xilinx FPGA tools required to enter and assemble HDL code using a schematic entry tool and program an FPGA (Artix-7). Intro: In this lab you will program an FPGA to display a rectangle on a monitor using a VGA cable. The color of the rectangle will depend on the configuration of the twelve switches located on the FPGA board. You will then modify the code provided for this tutorial to provide slightly different functionality, such as displacing the rectangle. NOTE: Before starting this homework, you must have finished Tutorial 2 (and Tutorial 1 if you are using your own ISE 14.7 software) to make sure you can create a project and program FPGA.

2 Equipment Needed: - Working computer with Xilinx ISE 14.7 installed - NEXYS4 DDR (Artix-7) FPGA board + USB Programming Cable - Second monitor - VGA cable Tutorial: 1. Start Xilinx Tool

3 2. In the ISE Project Navigator Window Create a New Project: File New Project 3. Name your project, setup directories, and set top-level source type.

4 3.1. If any _pn.exe error appears, perform the following steps: i) Open the following directory: C:\Xilinx\14.7\ISE_DS\ISE\lib\nt64 ii) Find and rename libportability.dll to libportability.dll.orig iii) Make a copy of libportabilitynosh.dll (copy and paste it to the same directory) and rename it libportability.dll iv) Copy libportabilitynosh.dll again, but this time navigate to C:\Xilinx\14.7\ISE_DS\common\lib\nt64 and paste it there v) In C:\Xilinx\14.7\ISE_DS\common\lib\nt64 Find and rename libportability.dll to libportability.dll.orig vi) Rename libportabilitynosh.dll to libportability.dll 3.2. If any Licensing error appears, go to Help License Manager and make sure the following settings are configured:

5 4. Hit next and configure the Project Settings: 5. Click Next/Finish until the New Project Wizard window closes. 6. Click Project New Source

6 7. Select Verilog Module then continue to hit Next/Finish until the New Source Wizard window closes. 8. Add an Implementation Constraints File, continue to select Next/Finish until the New Source Wizard window closes, and copy the code provided in the Appendix D into its contents. (Mention which file)

7 9. Create two additional Verilog Module files, shown in the figures below, and copy the code provided in the Appendix B and C into their respective files. (mention which file to add)

8 10. Copy provided code for the top Verilog module (vgaproject.v) from the appendix A (mention the file name). Now edit the code to instantiate three modules( vgapulse Horizontal, vgapulse Vertical and clockdiv pixel). Please see the comments in the code to understand where you have to instantiate these modules. Example of instantiation: Usually module instantiation is done as follows, Module_name Instance_name (Port_Association_List). For example, you have declared a module as follows, module addbit (a,b,ci,sum,co); where a,b, ci are the inputs and sum,co are the outputs. Now you can instantiate this module to any other module as follows, addbit addbit1 ( a(0), b(1), ci(0), sum(sum), co(co) ); Remember in the parentheses we provide the values or variable name from where values are coming for the input or output variables. Now save the project. There should be three.v files and one.ucf file listed below the top module in the Hierarchy listing. Also at the end of the vgaproject module you will see a always block. In this always block you will see some values of x and y. According to the values of x and y the rectangle will be placed in the monitor. Think yourself how you can move the square in any place of monitor by changing the values of x and y. You can do this thing after completing the whole project also. The project hierarchy should be look like as below. Your project

9 should exactly match with this view. 11. Synthesize the program by first selecting the top Verilog Module file, expand Configure Target Device inside the Processes section (lower-left corner), right click Manage Configuration Project, then click Run 12. Make sure that there are minimal warnings and no errors shown after Synthesis is complete

10 13. Ensure that your device is connected to your computer. 14. An ISE impact window should appear. Double click on Boundary Scan and right click in the center of the white space, then select Initialize Chain.

11 15. Select Yes in response to assigning configuration files, then select the.bit file. 16. Then select No for the next pop-up request, and Cancel for the final pop-up.

12 17. Right click on the square that is now shown in the white space and select Program. You should momentarily see a Program Succeeded message appear at the bottom of the white space. 18. Make sure the VGA cable is properly connected to the FPGA and a different monitor than the one you are currently using. 19. With all the switches configured in the up-ward or on position, a white rectangle should appear at the bottom left of your second monitor:

13

14 Homework deliveries and report 1. Follow all the instructions and make sure the project is fully working on the FPGA board. 2. Show at least 6 different colors with different configuration of switches 3. Modify the top level vgaproject.v code, so the location of the square on monitor changes (ex. top right or top left). 4. Write a complete report to explain your design and how you found the numbers to move the square. Also in your report always mention on the first page if your design is Fully working and if it s not working upto which stage you could get it working.

15 APPENDIX A vgaproject.v module vgaproject(input clk, vgapulse Vertical vgapulse Horizontal input [3:0]r, input [3:0]g, input [3:0]b, output reg [3:0]red, output reg [3:0]green, output reg [3:0]blue, output VS, output HS, output vfree, output hfree); // System clk // input from switches // display on VGA // Vertical sync obtained from // Horizontal sync obtained from reg [3:0] free; wire [10:0] x,y; // Instantiate vgapulse Horizontal with clk = pixelclk, stage1 = 21 d96, stage2 = 21 d144, stage3 = 21'd784, endstage = 21'd800, syncpulse = HS, free = hfree and position = x // Instantiate vgapulse Vertical with clk = HS, stage1 = 21'd2, stage2 = 21'd35, stage3 = 21'd515, endstage = 21'd525, syncpulse = VS, free = vfree, position = y // Instantiate clockdiv pixel with div = 32'd4, out =pixelclk

16 always begin free[0]=hfree&&vfree&&(x<500)&&(x>450)&&(y<450)&&(y>400); Horizontal free[1]=hfree&&vfree&&(x<500)&&(x>450)&&(y<450)&&(y>400); Vertical free[2]=hfree&&vfree&&(x<500)&&(x>450)&&(y<450)&&(y>400); free[3]=hfree&&vfree&&(x<500)&&(x>450)&&(y<450)&&(y>400); // value of 'x' and 'hfree' obtained from vgapulse // value of 'y' and 'vfree' obtained from vgapulse red=r&free; green=g&free; blue=b&free; // if free show red on VGA // if free show green on VGA // if free show blue on VGA end endmodule APPENDIX B vgapulse.v module vgapulse(input clk, input [21:0]stage1, input [21:0]stage2, input [21:0]stage3, input [21:0]endStage, output syncpulse, output free, output [10:0]position); //96 for Horizontal & 2 for Vertical (Passed from top module) //144 for Horizontal & 35 for Vertical (Passed from top module) //784 for Horizontal & 515 for Vertical (Passed from top module) //800 for Horizontal & 525 for Vertical (Passed from top module) // Sync pulse HS or VS // singla indicating pixel can be passed to VGA port // position of the pixel

17 // Temporary registers reg [12:0] count; reg inc; reg[10:0] poscount; reg S0,S1,S2,S3; reg free_reg,sp; assign position=poscount; assign free=free_reg; assign syncpulse=sp; always begin inc=(count>endstage); S0<=(((count>21'd0) (count==21'd0))&&(count<stage1) (count==endstage)); S1<=(((count>stage1) (count==stage1))&&(count<stage2)); S2<=(((count>stage2) (count==stage2))&&(count<stage3)); // C > 144 or C = 144 && C < > free to display for 640 S3<=(((count>stage3) (count==stage3))&&(count<endstage)); end always@(negedge clk) begin free_reg<=s2; // free at S2 for displaying sp<=s1 S2 S3; // Syncpulse if either of S1,S2 or S3 are 1 end always@(posedge clk) begin case(free) // All always blocks run in parallel so this block will // check for updated status of free and inc at every posedge

18 end 0:posCount=0; 1:posCount=posCount+1; endcase case(inc) 1:count=0; 0:count=count+1; endcase endmodule APPENDIX C clockdiv.v module clockdiv(input clk, input [31:0]div, output reg out); reg [31:0] count; reg inc; reg max; // All Always blocks run in parallel don't get confused always begin // This block will execute continuously and will update 'inc' max=div>>1; inc=(count>max); end always@(posedge clk) begin

19 case(inc) 1:begin count=0; out=~out; end 0:begin count=count+1; end endcase end endmodule APPENDIX D introvga.ucf ## This file is a general.ucf for the Nexys4 DDR Rev C board ## To use it in a project: ## - uncomment the lines corresponding to used pins ## - rename the used signals according to the project ## Clock signal NET "clk" LOC = "E3" IOSTANDARD = "LVCMOS33"; Sch name = clk100mhz #NET "clk100mhz" TNM_NET = sys_clk_pin; #TIMESPEC TS_sys_clk_pin = PERIOD sys_clk_pin 100 MHz HIGH 50%; #Bank = 35, Pin name = #IO_L12P_T1_MRCC_35, ## Switches NET "r<0>" NET "r<1>" NET "r<2>" NET "r<3>" NET "g<0>" LOC=J15 IOSTANDARD=LVCMOS33; #IO_L24N_T3_RS0_15 LOC=L16 IOSTANDARD=LVCMOS33; #IO_L3N_T0_DQS_EMCCLK_14 LOC=M13 IOSTANDARD=LVCMOS33; #IO_L6N_T0_D08_VREF_14 LOC=R15 IOSTANDARD=LVCMOS33; #IO_L13N_T2_MRCC_14 LOC=R17 IOSTANDARD=LVCMOS33; #IO_L12N_T1_MRCC_14

20 NET "g<1>" NET "g<2>" NET "g<3>" NET "b<0>" NET "b<1>" NET "b<2>" NET "b<3>" #NET "sw<12>" #NET "sw<13>" #NET "sw<14>" #NET "sw<15>" LOC=T18 IOSTANDARD=LVCMOS33; #IO_L7N_T1_D10_14 LOC=U18 IOSTANDARD=LVCMOS33; #IO_L17N_T2_A13_D29_14 LOC=R13 IOSTANDARD=LVCMOS33; #IO_L5N_T0_D07_14 LOC=T8 IOSTANDARD=LVCMOS33; #IO_L24N_T3_34 LOC=U8 IOSTANDARD=LVCMOS33; #IO_25_34 LOC=R16 IOSTANDARD=LVCMOS33; #IO_L15P_T2_DQS_RDWR_B_14 LOC=T13 IOSTANDARD=LVCMOS33; #IO_L23P_T3_A03_D19_14 LOC=H6 IOSTANDARD=LVCMOS33; #IO_L24P_T3_35 LOC=U12 IOSTANDARD=LVCMOS33; #IO_L20P_T3_A08_D24_14 LOC=U11 IOSTANDARD=LVCMOS33; #IO_L19N_T3_A09_D25_VREF_14 LOC=V10 IOSTANDARD=LVCMOS33; #IO_L21P_T3_DQS_14 ##VGA Connector NET "red<0>" LOC=A3 IOSTANDARD=LVCMOS33; #IO_L8N_T1_AD14N_35 NET "red<1>" LOC=B4 IOSTANDARD=LVCMOS33; #IO_L7N_T1_AD6N_35 NET "red<2>" LOC=C5 IOSTANDARD=LVCMOS33; #IO_L1N_T0_AD4N_35 NET "red<3>" LOC=A4 IOSTANDARD=LVCMOS33; #IO_L8P_T1_AD14P_35 NET "green<0>" NET "green<1>" NET "green<2>" NET "green<3>" NET "blue<0>" NET "blue<1>" NET "blue<2>" NET "blue<3>" LOC=C6 IOSTANDARD=LVCMOS33; #IO_L1P_T0_AD4P_35 LOC=A5 IOSTANDARD=LVCMOS33; #IO_L3N_T0_DQS_AD5N_35 LOC=B6 IOSTANDARD=LVCMOS33; #IO_L2N_T0_AD12N_35 LOC=A6 IOSTANDARD=LVCMOS33; #IO_L3P_T0_DQS_AD5P_35 LOC=B7 IOSTANDARD=LVCMOS33; #IO_L2P_T0_AD12P_35 LOC=C7 IOSTANDARD=LVCMOS33; #IO_L4N_T0_35 LOC=D7 IOSTANDARD=LVCMOS33; #IO_L6N_T0_VREF_35 LOC=D8 IOSTANDARD=LVCMOS33; #IO_L4P_T0_35 NET "HS" NET "VS" LOC=B11 IOSTANDARD=LVCMOS33; #IO_L4P_T0_15 LOC=B12 IOSTANDARD=LVCMOS33; #IO_L3N_T0_DQS_AD1N_15

21 ## LEDs NET "hfree" NET "vfree" #NET "led<2>" #NET "led<3>" #NET "led<4>" #NET "led<5>" #NET "led<6>" #NET "led<7>" #NET "led<8>" #NET "led<9>" #NET "led<10>" #NET "led<11>" #NET "led<12>" #NET "led<13>" #NET "led<14>" #NET "led<15>" LOC=H17 IOSTANDARD=LVCMOS33; #IO_L18P_T2_A24_15 LOC=K15 IOSTANDARD=LVCMOS33; #IO_L24P_T3_RS1_15 LOC=J13 IOSTANDARD=LVCMOS33; #IO_L17N_T2_A25_15 LOC=N14 IOSTANDARD=LVCMOS33; #IO_L8P_T1_D11_14 LOC=R18 IOSTANDARD=LVCMOS33; #IO_L7P_T1_D09_14 LOC=V17 IOSTANDARD=LVCMOS33; #IO_L18N_T2_A11_D27_14 LOC=U17 IOSTANDARD=LVCMOS33; #IO_L17P_T2_A14_D30_14 LOC=U16 IOSTANDARD=LVCMOS33; #IO_L18P_T2_A12_D28_14 LOC=V16 IOSTANDARD=LVCMOS33; #IO_L16N_T2_A15_D31_14 LOC=T15 IOSTANDARD=LVCMOS33; #IO_L14N_T2_SRCC_14 LOC=U14 IOSTANDARD=LVCMOS33; #IO_L22P_T3_A05_D21_14 LOC=T16 IOSTANDARD=LVCMOS33; #IO_L15N_T2_DQS_DOUT_CSO_B_14 LOC=V15 IOSTANDARD=LVCMOS33; #IO_L16P_T2_CSI_B_14 LOC=V14 IOSTANDARD=LVCMOS33; #IO_L22N_T3_A04_D20_14 LOC=V12 IOSTANDARD=LVCMOS33; #IO_L20N_T3_A07_D23_14 LOC=V11 IOSTANDARD=LVCMOS33; #IO_L21N_T3_DQS_A06_D22_14

Graduate Institute of Electronics Engineering, NTU. FPGA Lab. Speaker : 鍾明翰 (CMH) Advisor: Prof. An-Yeu Wu Date: 2010/12/14 ACCESS IC LAB

Graduate Institute of Electronics Engineering, NTU. FPGA Lab. Speaker : 鍾明翰 (CMH) Advisor: Prof. An-Yeu Wu Date: 2010/12/14 ACCESS IC LAB FPGA Lab Speaker : 鍾明翰 (CMH) Advisor: Prof. An-Yeu Wu Date: 2010/12/14 ACCESS IC LAB Objective In this Lab, you will learn the basic set-up and design methods of implementing your design by ISE 10.1. Create

More information

Introduction. In this exercise you will:

Introduction. In this exercise you will: Introduction In a lot of digital designs (DAQ, Trigger,..) the FPGAs are used. The aim of this exercise is to show you a way to logic design in a FPGA. You will learn all the steps from the idea to the

More information

Xilinx ChipScope ICON/VIO/ILA Tutorial

Xilinx ChipScope ICON/VIO/ILA Tutorial Xilinx ChipScope ICON/VIO/ILA Tutorial The Xilinx ChipScope tools package has several modules that you can add to your Verilog design to capture input and output directly from the FPGA hardware. These

More information

Verilog Design Entry, Synthesis, and Behavioral Simulation

Verilog Design Entry, Synthesis, and Behavioral Simulation ------------------------------------------------------------- PURPOSE - This lab will present a brief overview of a typical design flow and then will start to walk you through some typical tasks and familiarize

More information

Digital Systems EEE4084F FPGA Introduction Verilog and Xilinx ISE [30 Marks]

Digital Systems EEE4084F FPGA Introduction Verilog and Xilinx ISE [30 Marks] Digital Systems EEE4084F 2017-05-10 FPGA Introduction Verilog and Xilinx ISE [30 Marks] Background This practical is divided into two parts. The first is a tutorial that shows you how to set up a new FPGA

More information

CSE 591: Advanced Hardware Design and Verification (2012 Spring) LAB #0

CSE 591: Advanced Hardware Design and Verification (2012 Spring) LAB #0 Lab 0: Tutorial on Xilinx Project Navigator & ALDEC s Active-HDL Simulator CSE 591: Advanced Hardware Design and Verification Assigned: 01/05/2011 Due: 01/19/2011 Table of Contents 1 Overview... 2 1.1

More information

and 32 bit for 32 bit. If you don t pay attention to this, there will be unexpected behavior in the ISE software and thing may not work properly!

and 32 bit for 32 bit. If you don t pay attention to this, there will be unexpected behavior in the ISE software and thing may not work properly! This tutorial will show you how to: Part I: Set up a new project in ISE 14.7 Part II: Implement a function using Schematics Part III: Simulate the schematic circuit using ISim Part IV: Constraint, Synthesize,

More information

Vivado Tutorial. Introduction. Objectives. Procedure. Lab Workbook. Vivado Tutorial

Vivado Tutorial. Introduction. Objectives. Procedure. Lab Workbook. Vivado Tutorial Lab Workbook Introduction This tutorial guides you through the design flow using Xilinx Vivado software to create a simple digital circuit using Verilog HDL. A typical design flow consists of creating

More information

An easy to read reference is:

An easy to read reference is: 1. Synopsis: Timing Analysis and Timing Constraints The objective of this lab is to make you familiar with two critical reports produced by the Xilinx ISE during your design synthesis and implementation.

More information

ECE 491 Laboratory 1 Introducing FPGA Design with Verilog September 6, 2004

ECE 491 Laboratory 1 Introducing FPGA Design with Verilog September 6, 2004 Goals ECE 491 Laboratory 1 Introducing FPGA Design with Verilog September 6, 2004 1. To review the use of Verilog for combinational logic design. 2. To become familiar with using the Xilinx ISE software

More information

Circuit design with configurable devices (FPGA)

Circuit design with configurable devices (FPGA) 1 Material Circuit design with configurable devices (FPGA) Computer with Xilinx's ISE software installed. Digilent's Basys2 prototype board and documentation. Sample design files (lab kit). Files and documents

More information

University of Hawaii EE 361L. Getting Started with Spartan 3E Digilent Basys2 Board. Lab 4.1

University of Hawaii EE 361L. Getting Started with Spartan 3E Digilent Basys2 Board. Lab 4.1 University of Hawaii EE 361L Getting Started with Spartan 3E Digilent Basys2 Board Lab 4.1 I. Test Basys2 Board Attach the Basys2 board to the PC or laptop with the USB connector. Make sure the blue jumper

More information

XILINX ISE AND SPARTAN 3AN TUTORIAL

XILINX ISE AND SPARTAN 3AN TUTORIAL XILINX ISE AND SPARTAN 3AN TUTORIAL SYNTETIZE AND SIMULATION------------------------------------------ This tutorial will show you how to create a simple Xilinx ISE project based on the Spartan-3 Board.

More information

Getting started with the Xilinx Project Navigator and the Digilent BASYS 2 board.

Getting started with the Xilinx Project Navigator and the Digilent BASYS 2 board. Getting started with the Xilinx Project Navigator and the Digilent BASYS 2 board. This lab is based on: Xilinx Project Navigator, Release Version 14.6 Digilent Adept System Rev 2.7, Runtime Rev 2.16 Digilent

More information

Practical 4: RTC on FPGA

Practical 4: RTC on FPGA Practical 4: RTC on FPGA EEE4084F 2015-04-13 Background This practical is divided into two parts. The first is a tutorial that shows you how to set up a new FPGA project in Xilinx ISE. The second is a

More information

MYC-C7Z010/20 CPU Module

MYC-C7Z010/20 CPU Module MYC-C7Z010/20 CPU Module - 667MHz Xilinx XC7Z010/20 Dual-core ARM Cortex-A9 Processor with Xilinx 7-series FPGA logic - 1GB DDR3 SDRAM (2 x 512MB, 32-bit), 4GB emmc, 32MB QSPI Flash - On-board Gigabit

More information

Tutorial: Working with the Xilinx tools 14.4

Tutorial: Working with the Xilinx tools 14.4 Tutorial: Working with the Xilinx tools 14.4 This tutorial will show you how to: Part I: Set up a new project in ISE Part II: Implement a function using Schematics Part III: Implement a function using

More information

ELEC 4200 Lab#0 Tutorial

ELEC 4200 Lab#0 Tutorial 1 ELEC 4200 Lab#0 Tutorial Objectives(1) In this Lab exercise, we will design and implement a 2-to-1 multiplexer (MUX), using Xilinx Vivado tools to create a VHDL model of the design, verify the model,

More information

Xilinx ISE Synthesis Tutorial

Xilinx ISE Synthesis Tutorial Xilinx ISE Synthesis Tutorial The following tutorial provides a basic description of how to use Xilinx ISE to create a simple 2-input AND gate and synthesize the design onto the Spartan-3E Starter Board

More information

Xilinx Schematic Entry Tutorial

Xilinx Schematic Entry Tutorial Overview Xilinx Schematic Entry Tutorial Xilinx ISE Schematic Entry & Modelsim Simulation What is circuit simulation and why is it important? Complex designs, short design cycle Simultaneous system design

More information

Guide ISE14. ISE 14.2 (Xilinx) Designflow 1/12

Guide ISE14. ISE 14.2 (Xilinx) Designflow 1/12 Guide ISE14 ISE 14.2 (Xilinx) Designflow 1/12 Inhaltsverzeichnis 1. Create a Project 3 2. Select a Device 4 3. Add the Sourcecodes 5 4. File for the Pinning 6 5. Synthesis 7 6. Implementation 8 7. Programming

More information

2IN35 VLSI Programming Lab Work Assignment 1: Hardware design using Verilog

2IN35 VLSI Programming Lab Work Assignment 1: Hardware design using Verilog 2IN35 VLSI Programming Lab Work Assignment 1: Hardware design using Verilog Hrishikesh Salunkhe, h.l.salunkhe@tue.nl, Alok Lele, a.lele@tue.nl April 28, 2015 1 Contents 1 Introduction 3 2 Hardware design

More information

EE183 LAB TUTORIAL. Introduction. Projects. Design Entry

EE183 LAB TUTORIAL. Introduction. Projects. Design Entry EE183 LAB TUTORIAL Introduction You will be using several CAD tools to implement your designs in EE183. The purpose of this lab tutorial is to introduce you to the tools that you will be using, Xilinx

More information

To practice combinational logic on Logisim and Xilinx ISE tools. ...

To practice combinational logic on Logisim and Xilinx ISE tools. ... ENGG1203: Introduction to Electrical and Electronic Engineering Second Semester, 2017 18 Lab 1 Objective: To practice combinational logic on Logisim and Xilinx ISE tools. 1 Find your lab partner You will

More information

Using the ChipScope Pro for Testing HDL Designs on FPGAs

Using the ChipScope Pro for Testing HDL Designs on FPGAs Using the ChipScope Pro for Testing HDL Designs on FPGAs Compiled by OmkarCK CAD Lab, Dept of E&ECE, IIT Kharagpur. Introduction: Simulation based method is widely used for debugging the FPGA design on

More information

The board is powered by the USB connection, so to turn it on or off you plug it in or unplug it, respectively.

The board is powered by the USB connection, so to turn it on or off you plug it in or unplug it, respectively. Lab 1 You may work in pairs or individually on this lab Lab Objectives Learn about the equipment we will be using and how to handle it safely. Learn the basics of using Xilinx ISE to develop hardware designs

More information

Digital design laboratory 5

Digital design laboratory 5 Digital design laboratory 5 Preparations Launch the ISE Design Suite Create new project: File -> New Project Preparations Name: DigLab5 Location: D drive! D:\DigLab5 Working directory: The same as Location

More information

Nexys 2/3 board tutorial (Decoder, ISE 13.2) Jim Duckworth, August 2011, WPI. (updated March 2012 to include Nexys2 board)

Nexys 2/3 board tutorial (Decoder, ISE 13.2) Jim Duckworth, August 2011, WPI. (updated March 2012 to include Nexys2 board) Nexys 2/3 board tutorial (Decoder, ISE 13.2) Jim Duckworth, August 2011, WPI. (updated March 2012 to include Nexys2 board) Note: you will need the Xilinx ISE Webpack installed on your computer (or you

More information

CPE 200L LABORATORY 4: INTRODUCTION TO DE2 BOARD UNIVERSITY OF NEVADA, LAS VEGAS GOALS: BACKGROUND:

CPE 200L LABORATORY 4: INTRODUCTION TO DE2 BOARD UNIVERSITY OF NEVADA, LAS VEGAS GOALS: BACKGROUND: CPE 200L LABORATORY 4: INTRODUCTION TO DE2 BOARD DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING UNIVERSITY OF NEVADA, LAS VEGAS GOALS: Getting familiar with DE2 board installation, properties, usage.

More information

Introduction to Verilog. Mitch Trope EECS 240 Spring 2004

Introduction to Verilog. Mitch Trope EECS 240 Spring 2004 Introduction to Verilog Mitch Trope mtrope@ittc.ku.edu EECS 240 Spring 2004 Overview What is Verilog? Verilog History Max+Plus II Schematic entry Verilog entry System Design Using Verilog: Sum of Products

More information

CSE370 TUTORIAL 3 - INTRODUCTION TO USING VERILOG IN ACTIVE-HDL

CSE370 TUTORIAL 3 - INTRODUCTION TO USING VERILOG IN ACTIVE-HDL Introduction to Active-HDL CSE370 TUTORIAL 3 - INTRODUCTION TO USING VERILOG IN ACTIVE-HDL Objectives In this tutorial, you will learn how to write an alternate version of the full adder using Verilog,

More information

EN2911X: Reconfigurable Computing Lecture 05: Verilog (2)

EN2911X: Reconfigurable Computing Lecture 05: Verilog (2) EN2911X: Lecture 05: Verilog (2) Prof. Sherief Reda Division of Engineering, Brown University Fall 09 http://scale.engin.brown.edu Dataflow modeling Module is designed by specifying the data flow, where

More information

ECE 4305 Computer Architecture Lab #1

ECE 4305 Computer Architecture Lab #1 ECE 4305 Computer Architecture Lab #1 The objective of this lab is for students to familiarize with the FPGA prototyping system board (Nexys-2) and the Xilinx software development environment that will

More information

Board-Data Processing. VHDL Exercises. Exercise 1: Basics of VHDL Programming. Stages of the Development process using FPGA s in Xilinx ISE.

Board-Data Processing. VHDL Exercises. Exercise 1: Basics of VHDL Programming. Stages of the Development process using FPGA s in Xilinx ISE. Board-Data Processing VHDL Exercises Exercise 1: Basics of VHDL Programming Stages of the Development process using FPGA s in Xilinx ISE. Basics of VHDL VHDL (Very High Speed IC Hardware description Language)

More information

Revision: February 27, E Main Suite D Pullman, WA (509) Voice and Fax

Revision: February 27, E Main Suite D Pullman, WA (509) Voice and Fax Xilinx ISE WebPACK VHDL Tutorial Revision: February 27, 2010 215 E Main Suite D Pullman, WA 99163 (509) 334 6306 Voice and Fax Overview This tutorial provides simple instruction for using the Xilinx ISE

More information

EE 367 Logic Design Lab #1 Introduction to Xilinx ISE and the ML40X Eval Board Date: 1/21/09 Due: 1/28/09

EE 367 Logic Design Lab #1 Introduction to Xilinx ISE and the ML40X Eval Board Date: 1/21/09 Due: 1/28/09 EE 367 Logic Design Lab #1 Introduction to Xilinx ISE and the ML40X Eval Board Date: 1/21/09 Due: 1/28/09 Lab Description Today s lab will introduce you to the Xilinx Integrated Software Environment (ISE)

More information

FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1

FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1 FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1 Anurag Dwivedi Digital Design : Bottom Up Approach Basic Block - Gates Digital Design : Bottom Up Approach Gates -> Flip Flops Digital

More information

Counters, Timers and Real-Time Clock

Counters, Timers and Real-Time Clock Introduction In the previous lab, you learned how the Architectural Wizard can be used to generate a desired clock frequency and how the IP Catalog can be used to generate various cores including counters.

More information

Nikhil Gupta. FPGA Challenge Takneek 2012

Nikhil Gupta. FPGA Challenge Takneek 2012 Nikhil Gupta FPGA Challenge Takneek 2012 RECAP FPGA Field Programmable Gate Array Matrix of logic gates Can be configured in any way by the user Codes for FPGA are executed in parallel Configured using

More information

Tutorial for Altera DE1 and Quartus II

Tutorial for Altera DE1 and Quartus II Tutorial for Altera DE1 and Quartus II Qin-Zhong Ye December, 2013 This tutorial teaches you the basic steps to use Quartus II version 13.0 to program Altera s FPGA, Cyclone II EP2C20 on the Development

More information

ECT 224: Digital Computer Fundamentals Using Xilinx StateCAD

ECT 224: Digital Computer Fundamentals Using Xilinx StateCAD ECT 224: Digital Computer Fundamentals Using Xilinx StateCAD 1) Sequential circuit design often starts with a problem statement tat can be realized in the form of a state diagram or state table a) Xilinx

More information

EE 1315 DIGITAL LOGIC LAB EE Dept, UMD

EE 1315 DIGITAL LOGIC LAB EE Dept, UMD EE 1315 DIGITAL LOGIC LAB EE Dept, UMD EXPERIMENT # 1: Logic building blocks The main objective of this experiment is to let you familiarize with the lab equipment and learn about the operation of the

More information

ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University. Laboratory Exercise #1 Using the Vivado

ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University. Laboratory Exercise #1 Using the Vivado ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University Prof. Sunil P. Khatri Lab exercise created and tested by: Abbas Fairouz, Ramu Endluri, He Zhou,

More information

ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University. Laboratory Exercise #1 Using the Vivado

ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University. Laboratory Exercise #1 Using the Vivado ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University Prof. Sunil P Khatri (Lab exercise created and tested by Ramu Endluri, He Zhou, Andrew Douglass

More information

Xilinx Tutorial Basic Walk-through

Xilinx Tutorial Basic Walk-through Introduction to Digital Logic Design with FPGA s: Digital logic circuits form the basis of all digital electronic devices. FPGAs (Field Programmable Gate Array) are large programmable digital electronic

More information

Lab 6 : Introduction to Verilog

Lab 6 : Introduction to Verilog Lab 6 : Introduction to Verilog Name: Sign the following statement: On my honor, as an Aggie, I have neither given nor received unauthorized aid on this academic work 1 Objective The main objective of

More information

Outline. EECS Components and Design Techniques for Digital Systems. Lec 11 Putting it all together Where are we now?

Outline. EECS Components and Design Techniques for Digital Systems. Lec 11 Putting it all together Where are we now? Outline EECS 5 - Components and Design Techniques for Digital Systems Lec Putting it all together -5-4 David Culler Electrical Engineering and Computer Sciences University of California Berkeley Top-to-bottom

More information

NIOS CPU Based Embedded Computer System on Programmable Chip

NIOS CPU Based Embedded Computer System on Programmable Chip 1 Objectives NIOS CPU Based Embedded Computer System on Programmable Chip EE8205: Embedded Computer Systems This lab has been constructed to introduce the development of dedicated embedded system based

More information

Revision: February 27, E Main Suite D Pullman, WA (509) Voice and Fax

Revision: February 27, E Main Suite D Pullman, WA (509) Voice and Fax Xilinx ISE WebPACK Schematic Capture Tutorial Revision: February 27, 2010 215 E Main Suite D Pullman, WA 99163 (509) 334 6306 Voice and Fax Overview This tutorial provides instruction for using the Xilinx

More information

Introduction to Xilinx Vivado tools

Introduction to Xilinx Vivado tools Introduction to Xilinx Vivado tools This document is meant to be a starting point for users who are new to using the Xilinx Vivado tools. The document will describe the basic steps to start, create, simulate,

More information

Lab 2 EECE473 Computer Organization & Architecture University of Maine

Lab 2 EECE473 Computer Organization & Architecture University of Maine Lab 2: Verilog Programming Instructor: Yifeng Zhu 50 Points Objectives: 1. Quatus II Programming assignment: PIN assignments, LEDs, switches; 2. Download and test the design on Altera DE2 board 3. Create

More information

T Safety Board CPLD Programming Guide Revision: -

T Safety Board CPLD Programming Guide Revision: - REV - DESCRIPTION Initial Release DATE 3/27/08 BY EAW T3-3002 Safety Board CPLD Programming Guide Revision: - FILENAME: T3-3002_Safety_Board_CPLD_Programming_Guide.doc LAST SAVE: 7/24/2009 10:51 AM Page

More information

XILINX WebPack -- testing with Nexys2 boards at USC (EE201L)

XILINX WebPack -- testing with Nexys2 boards at USC (EE201L) XILINX WebPack -- testing with Nexys2 boards at USC (EE201L) Gandhi Puvvada 1 Before you start: 1.1 We assume that you have installed WebPACK on your desktop or laptop already. We assume that you have

More information

Lab 2: Barrel Shifter Design

Lab 2: Barrel Shifter Design EGR 400 A Advanced Digital System Design Using FPGAs Lab 2: Barrel Shifter Design Prepared for: Dr. Foist Christopher Parisi College of Engineering California Baptist University 10/05/12 Introduction The

More information

Recommended Design Techniques for ECE241 Project Franjo Plavec Department of Electrical and Computer Engineering University of Toronto

Recommended Design Techniques for ECE241 Project Franjo Plavec Department of Electrical and Computer Engineering University of Toronto Recommed Design Techniques for ECE241 Project Franjo Plavec Department of Electrical and Computer Engineering University of Toronto DISCLAIMER: The information contained in this document does NOT contain

More information

Quartus II Tutorial. September 10, 2014 Quartus II Version 14.0

Quartus II Tutorial. September 10, 2014 Quartus II Version 14.0 Quartus II Tutorial September 10, 2014 Quartus II Version 14.0 This tutorial will walk you through the process of developing circuit designs within Quartus II, simulating with Modelsim, and downloading

More information

Verilog Simulation Mapping

Verilog Simulation Mapping 1 Motivation UNIVERSITY OF CALIFORNIA AT BERKELEY COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL ENGINEERING AND COMPUTER SCIENCE Lab 4 Verilog Simulation Mapping In this lab you will learn how to use

More information

Chip Design with FPGA Design Tools

Chip Design with FPGA Design Tools Chip Design with FPGA Design Tools Intern: Supervisor: Antoine Vazquez Janusz Zalewski Florida Gulf Coast University Fort Myers, FL 33928 V1.9, August 28 th. Page 1 1. Introduction FPGA is abbreviation

More information

CME341 Assignment 4. module if\_else\_combinational\_logic( input [3:0] a, b, output reg [3:0] y ); * begin

CME341 Assignment 4. module if\_else\_combinational\_logic( input [3:0] a, b, output reg [3:0] y ); * begin CME341 Assignment 4 1. The verilog description below is an example of how code can get butchered by an engineer with lazy debugging habits. The lazy debugger wanted to try something and yet be able to

More information

Quartus II Version 14.0 Tutorial Created September 10, 2014; Last Updated January 9, 2017

Quartus II Version 14.0 Tutorial Created September 10, 2014; Last Updated January 9, 2017 Quartus II Version 14.0 Tutorial Created September 10, 2014; Last Updated January 9, 2017 This tutorial will walk you through the process of developing circuit designs within Quartus II, simulating with

More information

Verilog Module 1 Introduction and Combinational Logic

Verilog Module 1 Introduction and Combinational Logic Verilog Module 1 Introduction and Combinational Logic Jim Duckworth ECE Department, WPI 1 Module 1 Verilog background 1983: Gateway Design Automation released Verilog HDL Verilog and simulator 1985: Verilog

More information

Introduction to Verilog and XILINX

Introduction to Verilog and XILINX DEPARTAMENTO DE TECNOLOGÍA ELECTRÓNICA ESCUELA TÉCNICA SUPERIOR DE INGENIERÍA INFORMÁTICA Introduction to Verilog and XILINX Lab Session Computer Structure WARNING: A written solution of the preliminary

More information

ELEC 204 Digital System Design LABORATORY MANUAL

ELEC 204 Digital System Design LABORATORY MANUAL ELEC 204 Digital System Design LABORATORY MANUAL : Introductory Tutorial For Xilinx ISE Foundation v10.1 & Implementing XOR Gate College of Engineering Koç University Important Note: In order to effectively

More information

ECE 3610 Microprocessing Systems Lab #1 Verilog Design of the TOC Using Quartus II

ECE 3610 Microprocessing Systems Lab #1 Verilog Design of the TOC Using Quartus II ECE 3610 Microprocessing Systems Lab #1 Verilog Design of the TOC Using Quartus II This lab manual presents an introduction to the Quartus II Computer Aided Design (CAD) system. This manual gives step-by-step

More information

Verilog Fundamentals. Shubham Singh. Junior Undergrad. Electrical Engineering

Verilog Fundamentals. Shubham Singh. Junior Undergrad. Electrical Engineering Verilog Fundamentals Shubham Singh Junior Undergrad. Electrical Engineering VERILOG FUNDAMENTALS HDLs HISTORY HOW FPGA & VERILOG ARE RELATED CODING IN VERILOG HDLs HISTORY HDL HARDWARE DESCRIPTION LANGUAGE

More information

Spartan-6 LX9 MicroBoard Embedded Tutorial. Lab 6 Creating a MicroBlaze SPI Flash Bootloader

Spartan-6 LX9 MicroBoard Embedded Tutorial. Lab 6 Creating a MicroBlaze SPI Flash Bootloader Spartan-6 LX9 MicroBoard Embedded Tutorial Lab 6 Creating a MicroBlaze SPI Flash Bootloader Version 13.1.01 Revision History Version Description Date 13.1.01 Initial release for EDK 13.1 5/17/11 Table

More information

EITF35 - Introduction to Structured VLSI Design (Fall 2017) Course projects

EITF35 - Introduction to Structured VLSI Design (Fall 2017) Course projects 1 EITF35 - Introduction to Structured VLSI Design (Fall 2017) Course projects v.1.0.0 1 Introduction This document describes the course projects provided in EITF35 Introduction to Structured VLSI Design

More information

Vivado Tutorial. Introduction. Objectives. Procedure

Vivado Tutorial. Introduction. Objectives. Procedure Lab Workbook Introduction This tutorial guides you through the design flow using Xilinx Vivado software to create a simple digital circuit using VHDL. A typical design flow consists of creating model(s),

More information

IJREAT International Journal of Research in Engineering & Advanced Technology, Volume 1, Issue 5, Oct-Nov, 2013 ISSN:

IJREAT International Journal of Research in Engineering & Advanced Technology, Volume 1, Issue 5, Oct-Nov, 2013 ISSN: Development of FPGA based PS/2 Mouse and VGA Monitor Interface Technique P. K. Gaikwad Department of Electronics Willingdon College, Sangli, India Abstract The present paper deals with the development

More information

Logic Implementation on a Xilinx FPGA using VHDL WWU Linux platform assumed. rev 10/25/16

Logic Implementation on a Xilinx FPGA using VHDL WWU Linux platform assumed. rev 10/25/16 1 Logic Implementation on a Xilinx FPGA using VHDL WWU Linux platform assumed. rev 10/25/16 The following is a general outline of steps (i.e. design flow) used to implement a digital system described with

More information

Lab 1: Introduction to Verilog HDL and the Xilinx ISE

Lab 1: Introduction to Verilog HDL and the Xilinx ISE EE 231-1 - Fall 2016 Lab 1: Introduction to Verilog HDL and the Xilinx ISE Introduction In this lab simple circuits will be designed by programming the field-programmable gate array (FPGA). At the end

More information

TLL5000 Electronic System Design Base Module. Getting Started Guide, Ver 3.4

TLL5000 Electronic System Design Base Module. Getting Started Guide, Ver 3.4 TLL5000 Electronic System Design Base Module Getting Started Guide, Ver 3.4 COPYRIGHT NOTICE The Learning Labs, Inc. ( TLL ) All rights reserved, 2008 Reproduction in any form without permission is prohibited.

More information

Using Synplify Pro, ISE and ModelSim

Using Synplify Pro, ISE and ModelSim Using Synplify Pro, ISE and ModelSim VLSI Systems on Chip ET4 351 Rene van Leuken Huib Lincklaen Arriëns Rev. 1.2 The EDA programs that will be used are: For RTL synthesis: Synplicity Synplify Pro For

More information

ChipScope Demo Instructions

ChipScope Demo Instructions UNIVERSITY OF CALIFORNIA AT BERKELEY COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL ENGINEERING AND COMPUTER SCIENCE Overview ChipScope is an embedded, software based logic analyzer. By inserting an intergrated

More information

ECE 574: Modeling and Synthesis of Digital Systems using Verilog and VHDL. Fall 2017 Final Exam (6.00 to 8.30pm) Verilog SOLUTIONS

ECE 574: Modeling and Synthesis of Digital Systems using Verilog and VHDL. Fall 2017 Final Exam (6.00 to 8.30pm) Verilog SOLUTIONS ECE 574: Modeling and Synthesis of Digital Systems using Verilog and VHDL Fall 2017 Final Exam (6.00 to 8.30pm) Verilog SOLUTIONS Note: Closed book no notes or other material allowed apart from the one

More information

Implementing a Verilog design into the UWEE CPLD Development Board Using Xilinx s ISE 7.1i Software: A Tutorial

Implementing a Verilog design into the UWEE CPLD Development Board Using Xilinx s ISE 7.1i Software: A Tutorial Implementing a Verilog design into the UWEE CPLD Development Board Using Xilinx s ISE 7.1i Software: A Tutorial Revision 0 By: Evan Gander Materials: The following are required in order to complete this

More information

TLL5000 Electronic System Design Base Module

TLL5000 Electronic System Design Base Module TLL5000 Electronic System Design Base Module The Learning Labs, Inc. Copyright 2007 Manual Revision 2007.12.28 1 Copyright 2007 The Learning Labs, Inc. Copyright Notice The Learning Labs, Inc. ( TLL )

More information

EFM-03 Hardware Reference (Hardware Revision: Rev. A)

EFM-03 Hardware Reference (Hardware Revision: Rev. A) EFM0 Hardware Reference (Hardware Revision: Rev. A) Target applications Image processing Video capture Smart cameras Highspeed FPGA coprocessor Custom test equipment UG0 (v.0) August, 0 Features Features

More information

CSE P567 - Winter 2010 Lab 1 Introduction to FGPA CAD Tools

CSE P567 - Winter 2010 Lab 1 Introduction to FGPA CAD Tools CSE P567 - Winter 2010 Lab 1 Introduction to FGPA CAD Tools This is a tutorial introduction to the process of designing circuits using a set of modern design tools. While the tools we will be using (Altera

More information

Lab 3: Xilinx PicoBlaze Flow Lab Targeting Spartan-3E Starter Kit

Lab 3: Xilinx PicoBlaze Flow Lab Targeting Spartan-3E Starter Kit Lab 3: Xilinx PicoBlaze Flow Lab Targeting Spartan-3E Starter Kit Xilinx PicoBlaze Flow Demo Lab www.xilinx.com 1-1 Create a New Project Step 1 Create a new project targeting the Spartan-3E device that

More information

Digital Design with FPGAs. By Neeraj Kulkarni

Digital Design with FPGAs. By Neeraj Kulkarni Digital Design with FPGAs By Neeraj Kulkarni Some Basic Electronics Basic Elements: Gates: And, Or, Nor, Nand, Xor.. Memory elements: Flip Flops, Registers.. Techniques to design a circuit using basic

More information

MicroZed I/O Carrier Card Zynq System On Module Hardware User Guide

MicroZed I/O Carrier Card Zynq System On Module Hardware User Guide MicroZed I/O Card Zynq System On Module Hardware User Guide Revision 1.3 26 Aug 2014 Table of Contents 1 INTRODUCTION... 2 1.1 ZYNQ BANK PIN ASSIGNMENTS... 5 2 FUNCTIONAL DESCRIPTION... 6 2.1 MEMORY 1KB

More information

Step 1: Downloading the source files

Step 1: Downloading the source files Introduction: In this lab and in the remainder of the ELEC 2607 labs, you will be using the Xilinx ISE to enter and simulate the designs for your circuits. In labs 3 and 4, you will use ISE to compile

More information

Logic Implementation on a Xilinx FPGA using VHDL WWU Linux platform assumed. rev 11/01/17

Logic Implementation on a Xilinx FPGA using VHDL WWU Linux platform assumed. rev 11/01/17 1 Logic Implementation on a Xilinx FPGA using VHDL WWU Linux platform assumed. rev 11/01/17 The following is a general outline of steps (i.e. design flow) used to implement a digital system described with

More information

COS/ELE 375 Verilog & Design Tools Tutorial

COS/ELE 375 Verilog & Design Tools Tutorial COS/ELE 375 Verilog & Design Tools Tutorial In this tutorial, you will walk through a tutorial using the Xilinx ISE design software with a Digilent Nexys4 DDR FPGA board. In this tutorial, you will learn

More information

Vivado Design Suite Tutorial:

Vivado Design Suite Tutorial: Vivado Design Suite Tutorial: Programming and Debugging Notice of Disclaimer The information disclosed to you hereunder (the Materials ) is provided solely for the selection and use of Xilinx products.

More information

LABORATORY # 6 * L A B M A N U A L. Datapath Components - Adders

LABORATORY # 6 * L A B M A N U A L. Datapath Components - Adders Department of Electrical Engineering University of California Riverside Laboratory #6 EE 120 A LABORATORY # 6 * L A B M A N U A L Datapath Components - Adders * EE and CE students must attempt also to

More information

Verilog Module Tutorial By TA Brian W. Stevens CMPE415 UMBC Spring 2015 Dr. Tinoosh Mohsenin

Verilog Module Tutorial By TA Brian W. Stevens CMPE415 UMBC Spring 2015 Dr. Tinoosh Mohsenin Verilog Module Tutorial By TA Brian W. Stevens CMPE415 UMBC Spring 2015 Dr. Tinoosh Mohsenin What will this guide teach you? This guide will go through how to use Xilinx 13.2 to create a Verilog module

More information

Lab 7 (Sections 300, 301 and 302) Prelab: Introduction to Verilog

Lab 7 (Sections 300, 301 and 302) Prelab: Introduction to Verilog Lab 7 (Sections 300, 301 and 302) Prelab: Introduction to Verilog Name: Sign the following statement: On my honor, as an Aggie, I have neither given nor received unauthorized aid on this academic work

More information

RTL Design and IP Generation Tutorial. PlanAhead Design Tool

RTL Design and IP Generation Tutorial. PlanAhead Design Tool RTL Design and IP Generation Tutorial PlanAhead Design Tool Notice of Disclaimer The information disclosed to you hereunder (the "Materials") is provided solely for the selection and use of Xilinx products.

More information

Using ChipScope. Overview. Detailed Instructions: Step 1 Creating a new Project

Using ChipScope. Overview. Detailed Instructions: Step 1 Creating a new Project UNIVERSITY OF CALIFORNIA AT BERKELEY COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL ENGINEERING AND COMPUTER SCIENCE Using ChipScope Overview ChipScope is an embedded, software based logic analyzer. By

More information

ECE 4514 Digital Design II. Spring Lecture 20: Timing Analysis and Timed Simulation

ECE 4514 Digital Design II. Spring Lecture 20: Timing Analysis and Timed Simulation ECE 4514 Digital Design II Lecture 20: Timing Analysis and Timed Simulation A Tools/Methods Lecture Topics Static and Dynamic Timing Analysis Static Timing Analysis Delay Model Path Delay False Paths Timing

More information

How to use the IP generator from Xilinx to instantiate IP cores

How to use the IP generator from Xilinx to instantiate IP cores ÁÌ ¹ ÁÒØÖÓ ÙØ ÓÒ ØÓ ËØÖÙØÙÖ ÎÄËÁ Ò ÐÐ ¾¼½ µ ÓÙÖ ÔÖÓ Ø Úº½º¼º¼ 1 Introduction This document describes the course projects provided in EITF35 Introduction to Structured VLSI Design conducted at EIT, LTH.

More information

You will work in groups of four for the project (same groups as Project 1).

You will work in groups of four for the project (same groups as Project 1). COS/ELE 375 Prof. August Lab 2: PAW Processor Design (18 Nov 2015) Due January 12, 2015 Introduction In the prior project, you became familiar with the PAW instruction set. In this project you will design,

More information

Quick Front-to-Back Overview Tutorial

Quick Front-to-Back Overview Tutorial Quick Front-to-Back Overview Tutorial PlanAhead Design Tool This tutorial document was last validated using the following software version: ISE Design Suite 14.5 If using a later software version, there

More information

Nexys 2 board tutorial (Decoder, ISE 12.2) Jim Duckworth, August 2010, WPI. Digilent Adept Programming Steps added by Zoe (Zhu Fu)

Nexys 2 board tutorial (Decoder, ISE 12.2) Jim Duckworth, August 2010, WPI. Digilent Adept Programming Steps added by Zoe (Zhu Fu) Nexys 2 board tutorial (Decoder, ISE 12.2) Jim Duckworth, August 2010, WPI. Digilent Adept Programming Steps added by Zoe (Zhu Fu) Note: you will need the Xlinx ISE Webpack installed on your compuer (or

More information

1. Introduction EE108A. Lab 1: Combinational Logic: Extension of the Tic Tac Toe Game

1. Introduction EE108A. Lab 1: Combinational Logic: Extension of the Tic Tac Toe Game EE108A Lab 1: Combinational Logic: Extension of the Tic Tac Toe Game 1. Introduction Objective This lab is designed to familiarize you with the process of designing, verifying, and implementing a combinational

More information

2 nd Year Laboratory. Experiment: FPGA Design with Verilog. Department of Electrical & Electronic Engineering. Imperial College London.

2 nd Year Laboratory. Experiment: FPGA Design with Verilog. Department of Electrical & Electronic Engineering. Imperial College London. Department of Electrical & Electronic Engineering 2 nd Year Laboratory Experiment: FPGA Design with Verilog Objectives By the end of this experiment, you should know: How to design digital circuits using

More information

Project 1a: Hello World!

Project 1a: Hello World! Project 1a: Hello World! 1. Download cse465.zip from the web page. Unzip this using 7-Zip (not the Windows Utility it doesn t unzip files starting with a period) to your h:\ drive or wherever your CEC

More information

Setup/Hold. Set Up time (t su ):

Setup/Hold. Set Up time (t su ): Lecture 10 Agenda Set Up time (t su ): Setup/Hold Minimum amount of time the data is to be held steady prior to the clock event Hold time (t h ): Minimum amount of time the data is to be held steady after

More information