ECE U530 Digital Hardware Synthesis. What is the course about?

Size: px
Start display at page:

Download "ECE U530 Digital Hardware Synthesis. What is the course about?"

Transcription

1 ECE U530 Digital Hardware Synthesis Prof. Miriam Leeser Sept 6, 2006 Lecture 1: Overview Organization What is an ASIC? Why FPGAs? Hardware Description Languages and VHDL ECE U530 F06 What is the course about? ECEU530 follows closely the material learned in ECEU322 (ECE1382): Digital Logic Design Digital Logic Design using VHDL Synthesize VHDL to FPGAs VHDL: Combinational Logic Design Sequential Logic Design System Design Writing Testbenches Synthesis tools Targetting FPGAs 2

2 Web: Blackboard Getting Help Copies of lectures, assignments, handouts, solutions Clarifications to assignments Questions answered Personal help Professor: Office Hours 10:30 to 11:30 am Tues and Wed or by appointment 3 Required Textbook: Reading Ashenden, The Designer's Guide to VHDL 2 nd Edition, Morgan Kaufmann, 2001 Recommended Text: Mano and Kime, Logic and Computer Design Fundamentals, 3 rd Edition Prentice Hall,

3 Programming Assignments All assignments are expected to represent individual work! Programming Assignments will be submitted electronically. Tools: Xilinx ISE version 6.2i Modelsim 5.7e Programming assignments will be done on WinCOE systems. Computers are available on the second floor of Snell Engineering. You must have a COE account for this class: Go to then click on HELP! then click on Account Information For New Users 5 Programming Assignments I have the tools (at work) on a PC. Can I work there, then upload the tools? Yes, but... It is your responsibility to make sure: 1. You are using the same version of the tools: Version 6.2i of the Xilinx ISE tools Version 5.7e of Modelsim 2. Your programs run under the WinCOE tools i.e. no problems with formatting, etc. You can download evaluation versions from Xilinx and Modelsim. These are newer versions of the tools. YOU MUST MAKE SURE YOUR ANSWERS WORK on the WinCOE system!! 6

4 Midterm Exam (30%) Policies: Grading Midterm Exam in class Tuesday, November 1 Homework and Programming Assignments (30%) Frequent homeworks (1 or 2 per week) most will be programming assigments using Xilinx and Modelsim Final Project (30%) December 13 at 1:00pm 7 Our Design Flow 8

5 How to learn VHDL The course textbook: Ashenden, The Designer's Guide to VHDL 2 nd Edition, Morgan Kaufmann, 2001 Many VHDL web pages VHDL on line tutorial: The Green Mountain VHDL tutorial: VHDL tutorial: learn by example The VHDL mini reference: 9 Why VHDL? VHDL more dominant in FPGA design than Verilog VHDL tools more advanced than tools for other languages: SystemC has no synthesis tool for FPGAs HandelC does not have a good simulation environment... We may look at SystemC later in the semester 10

6 An Integrated Circuit Wafer (holding hundreds of dice) Pin-grid array (PGA) package Silicon die or chip Measurement of chip size, gate count: the number of logic gates A logic gate = a two-input NAND gate 11 CMOS is based on a MOSFET Metal Polysilicon Oxide (S i O 2 ) W n + n + L p-well The smallest feature size, λ=l/2 (unit: micron or µm) e.g., λ=0.25 µm in a 0.5 micron process 12

7 ASIC (Application-Specific IC) Not all ICs are ASICs CPU, microprocessor TTL ICs (74-series) ROMs, DRAMs, and SRAMs IC = Integrated Circuit Some ICs are ASICs Toy chips (e.g. ICs for e-pets, talking dolls, and so on) DSP processors? MPEG II decoder, xdsl ICs ICs for interfacing between memory and microprocessor Types of ASICs Full-custom ASICs Semicustom ASICs: cell-based, gate-array-based Programmable ASICs: PLD, FPGA 13 Taxonomy of Digital Hardware 14

8 Full-Custom ASICs Some (or all) logic cells are customized All mask layers are customized Design for: High speed Low power Small size Applications: Analog IC Mixed analog/digital ASIC Memory cells FPGA cells 15 Advantages Full-Custom ASICs (2) Optimal performance area speed power Disadvantages Time/effort to design Time to market Cost Cannot change once fabricated 16

9 Cell-based ASICs (CBICs) Use predesigned logic cells (standard cells) in combination with larger cells (megacells) Standard cells AND/OR gates, NAND/NOR gates,.. Multiplexers, adders,... Flip-flops, latches, registers,... Fixed blocks Flexible block Mega cells (full-custom blocks, system-level macros, fixed blocks, cores, functional standard blocks, or IP) Microcontrollers, mp, MPEG decoder RAM, ROM All mask layers are customized Custom blocks can be embedded 17 Advantages Cell-Based ASICs (2) Faster to design the Custom Logic Can optimize some logic cells: choose dfferent library components for different drive requirements Disadvantages Time/effort to design Less than full-custom, worse than other design styles Time to market Cost still need a full mask set Cannot change once fabricated 18

10 Gate-Array Based ASICs Gate array (or prediffused array) Transistors are predifined on the silicon wafer Base array: the predifined pattern of transistors Base cell: the smalles element that is replicated to make the base array Masked gate array (MGA) Only the top few layers of metal are defined by the designer using custom masks The designer chooses from a gate-array library of predesigned logic cells (macros) Types of MGA ASICs Channeled gate arrays Channelless gate arrays Structured gate arrays 19 Advantages Gate-Array Based ASICs (2) Faster to design the Semi-custom Logic Lower cost only need top few layers of mask Lower fabrication time Disadvantages Cannot optimize for performance Time to market faster than CBICs, slower than FPGAs Cannot change once fabricated 20

11 Programmable Logic Not all programmable logic is FIELD programmable PLAs and PALs implement AND-OR logic Useful for implementing combinational logic PLA : Programmable Logic Array programmable AND, programmable OR Planes PAL: Programmable Array Logic programmable AND, fixed OR plane PLAs and PALs similar architectures Programmable by adding connections May be mask programmable or field programmable depending on design, chip 21 PAL (Programmable Array Logic)! "# $ = x + 1x2 x3 x1x2 x3 = x + 1 x2 x1x2 x3 22

12 FPL: Field Programmable Logic (FPL) Logic for computing functions Interconnect I/O CPLD Complex, Programmable Logic Device Computations based on PAL FPGA Field Programmable Gate Array Computations based on LUT: Look up table 23 Field Programmable Logic (2) Advantages Low cost Very low fabrication time Fast time to market Volatile: Can change once fabricated Disadvantages Cannot optimize for performance Wasted area to allow reprogrammability Volatile: lose design on power down 24

13 FPGA FPGAs do not contain AND or OR planes Three elements: Logic blocks I/O blocks Interconnection wires and switches 25 Lookup Table (LUT) x 1 x 2 f %& %& % %& %& % 26

14 SRAM-controlled Switches ( #' ( #' #' ( ( 27 ASIC Cell Libraries FPGA: a library of logic cells that make up bigger components MGAs and CBICs: ASIC vendor: using an ASIC-vendor library Enter and simulate the design thru a set of design tools approved by the vendor Library vendor: purchasing a cell library Use a phantom library whose cells are empty boxes but contain enough information for layout The vendor will fills in the empty boxes after receiving the netlist In-house design: developing a cell library in-house Qualified cell library: the cell library that meets the foundry specifications An ASIC foundry only provides manufacturing without design help 28

15 Cell Information A physical layout The designer may not actually see the layout A behavioral model A high-level model to shorten the simulation time A Verilog/VHDL model A detailed timing model Determined by performing a simulation of each cell including the parasitic elements The simulation models are derived from measurements on special chips (process control monitors, PCMs, or drop-ins) A test strategy A circuit schematic A netlist description for the layout versus schematic (LVS) check A cell icon An icon for schematic entry A wire-load model A model for estimating the parasitic capacitance of wires before routing A routing model A model tells where it can and cannot place wires over the cell, as well as the location and types of the connections 29 Losses due to delayed market entry Revenues ($) Market rise D On-time Delayed W Peak revenue Peak revenue from delayed entry Market fall 2W Simplified revenue model Product life = 2W, peak at W Time of market entry defines a triangle, representing market penetration Triangle area equals revenue Loss The difference between the on-time and delayed triangle areas On-time entry Delayed entry Time 30

16 Exploding: Cost of IC Mask Set Process (µ) Single Mask cost ($K) # of Masks Mask Set cost ($K) , Quote from Cost of an ASIC Spending on masks can pay off, Sematech finds By David Lammers EE Times, July 30, 2003 A mask set for 130-nm logic devices costs $750,000, on average. Saying that "we think we have a decent handle on mask cost projections," Trybula said that Sematech expects the price tag to rise to $1.6 million for 90-nm technology and $3 million for a 65-nm mask set. "The price goes up very significantly" after that, Trybula said. 32

17 Why FPGAs? FPGAs allow you to take advantage of latest technology FPGAs are high volume, custom designs FPGA manufacturers pay the high cost of a mask set sell same chip to thousands of customers Disadvantages Designs mapped on FPGAs are slower, larger, dissipate more power than designs implemented on ASICs Disadvantages amount to sticking with one or two previous generations of ASIC chip Advantages No NRE (Non-recurring Engineering cost) for an FPGA Fast time to market FPGA CAD tools are cheaper than ASIC CAD tools 33 ASIC Market FPGAs vs. ASICs per month 34

18 When to use FPGAs? Replace components on a board easier to integrate a single chip Replace an ASIC ASICs getting expensive to fabricate FPGAs getting denser Accelerate algorithms that run in software for embedded systems but PCs are getting faster all the time... factor of 2 speed increase in PC parallels a factor of 4 speedup in an FPGA: 2xclock + 2x area Goals: High performance design Fast design turn around 35 Classification of Digital Hardware Chips can be: Gate Array or Custom Programmable Logic can be: Mask Programmable (Program once, in the foundary) Field Programmable (Program anywhere) Field Programmable Logic can be: Program once Reprogrammable Reprogrammable Logic can be: Reprogrammable out of the circuit (EEPROM based) Reprogrammable in the circuit Reconfigurable Logic is Reprogrammable in the circuit 36

19 SRAM-based FPGAs Programmed by loading configuration memory cells from an external source Memory cells are distributed among the logic they control Configuration memory is written once for each application high speed read/write is NOT important stability and density of RAM IS important 37 FPGA Density Gates 10M 1M Approx. 65% growth per year Virtex Virtex-II 100K XC K XC3000 XC2000 1K

20 Xilinx FPGA Architecture CLB Configurable Logic Block IOB Input/Output Block PSM Programmable Switch Matrix PIP Programmable Interconnect Point 39 There are no Logic Gates on an FPGA Logic Gates are implemented via a LUT: Look up table 40

21 FPGA CAD FLOW Synthesis Technology Mapping Placement Routing Timing Analysis Bitstream generation and download Simulation, simulation, simulation Trends in Digital Hardware Improvements in device technology Smaller circuits Higher performance More devices per chip Higher degree of integration More complex systems Lower cost of computation Higher reliability 42

22 Hardware Design Challenges Use most recent technology To be competitive in performance Reduce design cost To be competitive in price Speed up design time Time to market is critical 43 Modern FPGAs Take advantage of the latest technology.13 micron,.09 micron... High cost is amortized over many customers Millions of transistors per FPGA Millions of gate equivalents per FPGA One gate equivalent = 1 2-input NAND gate (4 transistors) Difficult to measure in FPGA due to LUT technology Clock speeds greater than 100 MHz Integrate larger logic blocks: Memories Multipliers Processor cores 44

23 FPGAs require ASIC design styles Density and performance of best FPGAs rival ASICs of 1 or 2 years ago Same design issues: controlling complexity ability to simulate 45 Moore s law The most important trend in microelectronics Predicted in 1965 by Intel co-founder Gordon Moore IC transistor capacity has doubled roughly every 18 months for the past several decades Logic transistors per chip (in millions) Note: logarithmic scale 10,000 1,

24 Moore s Law: CPUs and Memory 47 Graphical illustration of Moore s law ,000 transistors 150,000,000 transistors Leading edge chip in 1981 Leading edge chip in 2002 Something that doubles frequently grows more quickly than most people realize! A 2002 chip can hold about 15, chips inside itself 48

25 Facts about the Transistor In 2002, transistors are produced. This is about 100 times more transistors than there are ants in the world! More transistors are produced per year in DRAMs only than grains of rice. One grain of rice can buy 100 s of transistors! 49 The Importance of CAD Tools #"'() ) *+, &'(),!"!"#!"!!!$!!% & &# &! 50 [ Keutzer] Source: SEMATECH

26 Evolution of the EDA Industry -* ) 2/ 3* 2 *) ) /0* [ Keutzer] IC Design Steps (cont.) 71* * 8* / / 9 * 37/ * 37/ 52 Figs. [ Sherwani]

27 IC Design Steps (cont.) 71* * / * :- /?1* * / 8* / / ;<09= /> 0>/>09> (<09> >0 > />09 >/ 53 Design Synthesis The manner in which we convert our concept of desired system functionality into an implementation Compilation/ Synthesis Libraries/ IP Test/ Verification Compilation/Synthesis: Automates exploration and insertion of implementation details for lower level. System specification System synthesis Hw/Sw/ OS Model simulat./ checkers Libraries/IP: Incorporates predesigned implementation from lower abstraction level into higher level. Behavioral specification RT specification Behavior synthesis RT synthesis Cores RT components Hw-Sw cosimulators HDL simulators Test/Verification: Ensures correct functionality at each level, thus reducing costly iterations between levels. Logic specification Logic synthesis Gates/ Cells Gate simulators To final implementation 54

28 Design Methodology Specification Design domains - abstraction level Top-down vs. Bottom-up design Schematic based vs. HDL based Getting it right Simulation and verification Design libraries 55 Specification A specification of what to construct is the first major step. Compromise between what is wanted and what can be made Requires experience Requirements must be considered at many levels System, sub-system, Board Specifications can be verified by system simulations 56

29 Design domains Gajski chart Structural Processor, memory ALU, registers Cell Device, gate Transistor Program State machine Module Boolean equation Transfer function Behavioral Masks Gate Functional unit Macro IC Geometric 57 Design Domains (2) Behavioral: Abstract function Structural: Interconnection of parts Geometric: physical objects with sizes and position 58

30 Abstraction levels and synthesis Architectural level Logic level Circuit level Layout level Behavioral level For I=0 to I=15 Sum = Sum + array[i] 0 State Architecture synthesis Logic synthesis Circuit synthesis Layout synthesis Structural level Memory Control + (register level) Clk (Library) Ideal synthesis system 59 Top - down design Choice of algorithm Definition of functional modules Definition of design hierarchy Split up in small boxes - split up in small boxes - split up in small boxes Define required units ( adders, state machine, etc.) Floor-planning Map into chosen technology (synthesis, schematic, bitstream) Behavioral simulation tools 60

31 Bottom - up Build basic units in technology Build generic modules of use Put modules together Hope that you arrived at some reasonable architecture Gate level simulation tools Old fashioned design methodology a la discrete logic Comment by one of the main designers of a Pentium processor The design was made in a typical top - down, bottom - up, inside - out design methodology 61 Schematic based Symbol of module defines interface Schematic of module defines function Top - down: Make first symbol and then schematic Bottom - up: Make first Schematic and then symbol Basic gate Logic module Symbol Long and tedious Schematic 62

32 Synthesis based Define modules and their behavior in a hardware description language (also used for simulation) Use synthesis tools to generate netlist clk) begin if (set) coarse <= #(test.ff_delay) offset; else if (coarse == count_roll_over) coarse <= #(test.ff_delay) 0; else coarse <= #(test.ff_delay) coarse + 1; end 63 Getting it right - Simulation Simulate the design at all levels: behavioral level netlist level netlist with timing information Behavioral simulation at system/module level (Verilog, VHDL) All functions must be simulated and verified Worst case data must be used if exhaustive simulation impossible Use programming approach to verify large set of functions (not looking at waveform displays) 64

33 Architectural Synthesis Hardware Synthesis Determine the interconnection of large building blocks Logic Synthesis Determine the interconnection of logic gates Geometric level Synthesis (Place and Route) Determine positions and connections 65 Circuit Optimization Performance (speed) Delay and cycle time Latency Throughput -- for pipelined applications Area Power 66

34 Our Design Flow 67 How to Describe FPGA Designs Use CAD Tools CAD Tools translate your design into an FPGA architecture Two types of design entry: Schematic capture This is what you used in ECE U322 (ECE 1382) Hardware Description Language This is what this class is about CAD tools translate both types of descriptions to FPGA hardware 68

35 Technology trends The Need for HDLs 1 billion transistor chip running at 20 GHz in 2007 Need for Hardware Description Languages Systems become more complex Design at the gate and flip-flop level becomes very tedious and time consuming HDLs allow Design and debugging at a higher level before conversion to the gate and flip-flop level Tools for synthesis do the conversion VHDL, Verilog are the most popular VHDL VHSIC Hardware Description Language 69 HDLs vs. Programming Languages Procedural programming languages provide algorithms, or the how of implenting a design for computation for data manipulation typically independent of the hardware it is running on Hardware description languages describe a system Interfaces are important May want to describe in different ways behavior structure May want to specify specific physical properties 70

36 HDLs vs. Programming Languages (2) Procedural programming languages: sequential execution structural information less important exact timing information is NOT important Hardware description languages: Parallel execution I/O ports, building blocks Exact timing information IS important 71 Why Describe a System? Design Specification Unambiguous definition of components and interfaces Documentation Design Simulation verify performance prior to/after design implementation functional correctness timing Design Synthesis Automatic generation of a hardware design Component and Design Reuse Technology Independence 72

37 73 74

ECE U530 Digital Hardware Synthesis. Programming Assignments

ECE U530 Digital Hardware Synthesis. Programming Assignments ECE U530 Digital Hardware Synthesis Prof. Miriam Leeser mel@coe.neu.edu Sept 11, 2006 Lecture 2: CAD TOOLS: Xilinx and Modelsim Levels of Design VHDL Introduction ECE U530 F06 Programming Assignments All

More information

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES DIGITAL DESIGN TECHNOLOGY & TECHNIQUES CAD for ASIC Design 1 INTEGRATED CIRCUITS (IC) An integrated circuit (IC) consists complex electronic circuitries and their interconnections. William Shockley et

More information

Design Metrics. A couple of especially important metrics: Time to market Total cost (NRE + unit cost) Performance (speed latency and throughput)

Design Metrics. A couple of especially important metrics: Time to market Total cost (NRE + unit cost) Performance (speed latency and throughput) Design Metrics A couple of especially important metrics: Time to market Total cost (NRE + unit cost) Performance (speed latency and throughput) 1 Design Metrics A couple of especially important metrics:

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

E 4.20 Introduction to Digital Integrated Circuit Design

E 4.20 Introduction to Digital Integrated Circuit Design E 4.20 Introduction to Digital Integrated Circuit Design Peter Cheung Department of Electrical & Electronic Engineering Imperial College London URL: www.ee.ic.ac.uk/pcheung/ E-mail: p.cheung@imperial.ac.uk

More information

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011 FPGA for Complex System Implementation National Chiao Tung University Chun-Jen Tsai 04/14/2011 About FPGA FPGA was invented by Ross Freeman in 1989 SRAM-based FPGA properties Standard parts Allowing multi-level

More information

Design Methodologies and Tools. Full-Custom Design

Design Methodologies and Tools. Full-Custom Design Design Methodologies and Tools Design styles Full-custom design Standard-cell design Programmable logic Gate arrays and field-programmable gate arrays (FPGAs) Sea of gates System-on-a-chip (embedded cores)

More information

Digital Design Methodology (Revisited) Design Methodology: Big Picture

Digital Design Methodology (Revisited) Design Methodology: Big Picture Digital Design Methodology (Revisited) Design Methodology Design Specification Verification Synthesis Technology Options Full Custom VLSI Standard Cell ASIC FPGA CS 150 Fall 2005 - Lec #25 Design Methodology

More information

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Hardware Design Environments Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Outline Welcome to COE 405 Digital System Design Design Domains and Levels of Abstractions Synthesis

More information

Digital Design Methodology

Digital Design Methodology Digital Design Methodology Prof. Soo-Ik Chae Digital System Designs and Practices Using Verilog HDL and FPGAs @ 2008, John Wiley 1-1 Digital Design Methodology (Added) Design Methodology Design Specification

More information

FYSE420 DIGITAL ELECTRONICS. Lecture 7

FYSE420 DIGITAL ELECTRONICS. Lecture 7 FYSE420 DIGITAL ELECTRONICS Lecture 7 1 [1] [2] [3] DIGITAL LOGIC CIRCUIT ANALYSIS & DESIGN Nelson, Nagle, Irvin, Carrol ISBN 0-13-463894-8 DIGITAL DESIGN Morris Mano Fourth edition ISBN 0-13-198924-3

More information

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture 01 Introduction Welcome to the course on Hardware

More information

INTRODUCTION TO FPGA ARCHITECTURE

INTRODUCTION TO FPGA ARCHITECTURE 3/3/25 INTRODUCTION TO FPGA ARCHITECTURE DIGITAL LOGIC DESIGN (BASIC TECHNIQUES) a b a y 2input Black Box y b Functional Schematic a b y a b y a b y 2 Truth Table (AND) Truth Table (OR) Truth Table (XOR)

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

Design Methodologies. Full-Custom Design

Design Methodologies. Full-Custom Design Design Methodologies Design styles Full-custom design Standard-cell design Programmable logic Gate arrays and field-programmable gate arrays (FPGAs) Sea of gates System-on-a-chip (embedded cores) Design

More information

FPGA Based Digital Design Using Verilog HDL

FPGA Based Digital Design Using Verilog HDL FPGA Based Digital Design Using Course Designed by: IRFAN FAISAL MIR ( Verilog / FPGA Designer ) irfanfaisalmir@yahoo.com * Organized by Electronics Division Integrated Circuits Uses for digital IC technology

More information

COE 561 Digital System Design & Synthesis Introduction

COE 561 Digital System Design & Synthesis Introduction 1 COE 561 Digital System Design & Synthesis Introduction Dr. Aiman H. El-Maleh Computer Engineering Department King Fahd University of Petroleum & Minerals Outline Course Topics Microelectronics Design

More information

LSN 6 Programmable Logic Devices

LSN 6 Programmable Logic Devices LSN 6 Programmable Logic Devices Department of Engineering Technology LSN 6 What Are PLDs? Functionless devices in base form Require programming to operate The logic function of the device is programmed

More information

FPGA: What? Why? Marco D. Santambrogio

FPGA: What? Why? Marco D. Santambrogio FPGA: What? Why? Marco D. Santambrogio marco.santambrogio@polimi.it 2 Reconfigurable Hardware Reconfigurable computing is intended to fill the gap between hardware and software, achieving potentially much

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

CS310 Embedded Computer Systems. Maeng

CS310 Embedded Computer Systems. Maeng 1 INTRODUCTION (PART II) Maeng Three key embedded system technologies 2 Technology A manner of accomplishing a task, especially using technical processes, methods, or knowledge Three key technologies for

More information

EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs)

EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) September 12, 2002 John Wawrzynek Fall 2002 EECS150 - Lec06-FPGA Page 1 Outline What are FPGAs? Why use FPGAs (a short history

More information

Outline. EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) FPGA Overview. Why FPGAs?

Outline. EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) FPGA Overview. Why FPGAs? EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) September 12, 2002 John Wawrzynek Outline What are FPGAs? Why use FPGAs (a short history lesson). FPGA variations Internal logic

More information

CAD for VLSI. Debdeep Mukhopadhyay IIT Madras

CAD for VLSI. Debdeep Mukhopadhyay IIT Madras CAD for VLSI Debdeep Mukhopadhyay IIT Madras Tentative Syllabus Overall perspective of VLSI Design MOS switch and CMOS, MOS based logic design, the CMOS logic styles, Pass Transistors Introduction to Verilog

More information

More Course Information

More Course Information More Course Information Labs and lectures are both important Labs: cover more on hands-on design/tool/flow issues Lectures: important in terms of basic concepts and fundamentals Do well in labs Do well

More information

Spiral 2-8. Cell Layout

Spiral 2-8. Cell Layout 2-8.1 Spiral 2-8 Cell Layout 2-8.2 Learning Outcomes I understand how a digital circuit is composed of layers of materials forming transistors and wires I understand how each layer is expressed as geometric

More information

PLAs & PALs. Programmable Logic Devices (PLDs) PLAs and PALs

PLAs & PALs. Programmable Logic Devices (PLDs) PLAs and PALs PLAs & PALs Programmable Logic Devices (PLDs) PLAs and PALs PLAs&PALs By the late 1970s, standard logic devices were all the rage, and printed circuit boards were loaded with them. To offer the ultimate

More information

Evolution of Implementation Technologies. ECE 4211/5211 Rapid Prototyping with FPGAs. Gate Array Technology (IBM s) Programmable Logic

Evolution of Implementation Technologies. ECE 4211/5211 Rapid Prototyping with FPGAs. Gate Array Technology (IBM s) Programmable Logic ECE 42/52 Rapid Prototyping with FPGAs Dr. Charlie Wang Department of Electrical and Computer Engineering University of Colorado at Colorado Springs Evolution of Implementation Technologies Discrete devices:

More information

Design Methodologies

Design Methodologies Design Methodologies 1981 1983 1985 1987 1989 1991 1993 1995 1997 1999 2001 2003 2005 2007 2009 Complexity Productivity (K) Trans./Staff - Mo. Productivity Trends Logic Transistor per Chip (M) 10,000 0.1

More information

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica VLSI Design Automation 1 Outline Technology trends VLSI Design flow (an overview) 2 IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing

More information

Overview. CSE372 Digital Systems Organization and Design Lab. Hardware CAD. Two Types of Chips

Overview. CSE372 Digital Systems Organization and Design Lab. Hardware CAD. Two Types of Chips Overview CSE372 Digital Systems Organization and Design Lab Prof. Milo Martin Unit 5: Hardware Synthesis CAD (Computer Aided Design) Use computers to design computers Virtuous cycle Architectural-level,

More information

310/ ICTP-INFN Advanced Tranining Course on FPGA and VHDL for Hardware Simulation and Synthesis 27 November - 22 December 2006

310/ ICTP-INFN Advanced Tranining Course on FPGA and VHDL for Hardware Simulation and Synthesis 27 November - 22 December 2006 310/1780-18 ICTP-INFN Advanced Tranining Course on FPGA and VHDL for Hardware Simulation and Synthesis 27 November - 22 December 2006 Design Methodology Tools Jorgen CHRISTIANSEN PH-ED CERN CH-1221 Geneva

More information

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University EE 466/586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 18 Implementation Methods The Design Productivity Challenge Logic Transistors per Chip (K) 10,000,000.10m

More information

VLSI Design Automation. Maurizio Palesi

VLSI Design Automation. Maurizio Palesi VLSI Design Automation 1 Outline Technology trends VLSI Design flow (an overview) 2 Outline Technology trends VLSI Design flow (an overview) 3 IC Products Processors CPU, DSP, Controllers Memory chips

More information

ADVANCED FPGA BASED SYSTEM DESIGN. Dr. Tayab Din Memon Lecture 3 & 4

ADVANCED FPGA BASED SYSTEM DESIGN. Dr. Tayab Din Memon Lecture 3 & 4 ADVANCED FPGA BASED SYSTEM DESIGN Dr. Tayab Din Memon tayabuddin.memon@faculty.muet.edu.pk Lecture 3 & 4 Books Recommended Books: Text Book: FPGA Based System Design by Wayne Wolf Overview Why VLSI? Moore

More information

EITF35: Introduction to Structured VLSI Design

EITF35: Introduction to Structured VLSI Design EITF35: Introduction to Structured VLSI Design Part 1.1.2: Introduction (Digital VLSI Systems) Liang Liu liang.liu@eit.lth.se 1 Outline Why Digital? History & Roadmap Device Technology & Platforms System

More information

FABRICATION TECHNOLOGIES

FABRICATION TECHNOLOGIES FABRICATION TECHNOLOGIES DSP Processor Design Approaches Full custom Standard cell** higher performance lower energy (power) lower per-part cost Gate array* FPGA* Programmable DSP Programmable general

More information

Introduction Lecturer: Gil Rahav Semester B, EE Dept. BGU. Freescale Semiconductors Israel

Introduction Lecturer: Gil Rahav Semester B, EE Dept. BGU. Freescale Semiconductors Israel Design מבוא לתכנון VLSI ספרתי Introduction Lecturer: Semester B, EE Dept. BGU. Freescale Semiconductors Israel 1 IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile

More information

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation Course Goals Lab Understand key components in VLSI designs Become familiar with design tools (Cadence) Understand design flows Understand behavioral, structural, and physical specifications Be able to

More information

Digital Integrated Circuits

Digital Integrated Circuits Digital Integrated Circuits Lecture 9 Jaeyong Chung Robust Systems Laboratory Incheon National University DIGITAL DESIGN FLOW Chung EPC6055 2 FPGA vs. ASIC FPGA (A programmable Logic Device) Faster time-to-market

More information

Digital Electronics 27. Digital System Design using PLDs

Digital Electronics 27. Digital System Design using PLDs 1 Module -27 Digital System Design 1. Introduction 2. Digital System Design 2.1 Standard (Fixed function) ICs based approach 2.2 Programmable ICs based approach 3. Comparison of Digital System Design approaches

More information

Programmable Logic Devices

Programmable Logic Devices Programmable Logic Devices INTRODUCTION A programmable logic device or PLD is an electronic component used to build reconfigurable digital circuits. Unlike a logic gate, which has a fixed function, a PLD

More information

ECE 636. Reconfigurable Computing. Lecture 2. Field Programmable Gate Arrays I

ECE 636. Reconfigurable Computing. Lecture 2. Field Programmable Gate Arrays I ECE 636 Reconfigurable Computing Lecture 2 Field Programmable Gate Arrays I Overview Anti-fuse and EEPROM-based devices Contemporary SRAM devices - Wiring - Embedded New trends - Single-driver wiring -

More information

Programmable Logic Devices II

Programmable Logic Devices II São José February 2015 Prof. Hoeller, Prof. Moecke (http://www.sj.ifsc.edu.br) 1 / 28 Lecture 01: Complexity Management and the Design of Complex Digital Systems Prof. Arliones Hoeller arliones.hoeller@ifsc.edu.br

More information

ECEN 449 Microprocessor System Design. FPGAs and Reconfigurable Computing

ECEN 449 Microprocessor System Design. FPGAs and Reconfigurable Computing ECEN 449 Microprocessor System Design FPGAs and Reconfigurable Computing Some of the notes for this course were developed using the course notes for ECE 412 from the University of Illinois, Urbana-Champaign

More information

Workspace for '4-FPGA' Page 1 (row 1, column 1)

Workspace for '4-FPGA' Page 1 (row 1, column 1) Workspace for '4-FPGA' Page 1 (row 1, column 1) Workspace for '4-FPGA' Page 2 (row 2, column 1) Workspace for '4-FPGA' Page 3 (row 3, column 1) ECEN 449 Microprocessor System Design FPGAs and Reconfigurable

More information

An Introduction to Programmable Logic

An Introduction to Programmable Logic Outline An Introduction to Programmable Logic 3 November 24 Transistors Logic Gates CPLD Architectures FPGA Architectures Device Considerations Soft Core Processors Design Example Quiz Semiconductors Semiconductor

More information

LSN 1 Digital Design Flow for PLDs

LSN 1 Digital Design Flow for PLDs LSN 1 Digital Design Flow for PLDs ECT357 Microprocessors I Department of Engineering Technology LSN 1 Programmable Logic Devices Functionless devices in base form Require programming to operate The logic

More information

Introduction to HW design flows

Introduction to HW design flows Graphics: Alexandra Nolte, Gesine Marwedel, 2003 Introduction to HW design flows What you will get from this class This class will teach you how to use Hardware Description Languages (HDLs) to design,

More information

What is this class all about?

What is this class all about? EE141-Fall 2007 Digital Integrated Circuits Instructor: Elad Alon TuTh 3:30-5pm 155 Donner 1 1 What is this class all about? Introduction to digital integrated circuit design engineering Will describe

More information

What is this class all about?

What is this class all about? EE141-Fall 2012 Digital Integrated Circuits Instructor: Elad Alon TuTh 11-12:30pm 247 Cory 1 What is this class all about? Introduction to digital integrated circuit design engineering Will describe models

More information

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN 1 Introduction The evolution of integrated circuit (IC) fabrication techniques is a unique fact in the history of modern industry. The improvements in terms of speed, density and cost have kept constant

More information

Overview of Digital Design Methodologies

Overview of Digital Design Methodologies Overview of Digital Design Methodologies ELEC 5402 Pavan Gunupudi Dept. of Electronics, Carleton University January 5, 2012 1 / 13 Introduction 2 / 13 Introduction Driving Areas: Smart phones, mobile devices,

More information

Outline. EECS Components and Design Techniques for Digital Systems. Lec 11 Putting it all together Where are we now?

Outline. EECS Components and Design Techniques for Digital Systems. Lec 11 Putting it all together Where are we now? Outline EECS 5 - Components and Design Techniques for Digital Systems Lec Putting it all together -5-4 David Culler Electrical Engineering and Computer Sciences University of California Berkeley Top-to-bottom

More information

Verilog. What is Verilog? VHDL vs. Verilog. Hardware description language: Two major languages. Many EDA tools support HDL-based design

Verilog. What is Verilog? VHDL vs. Verilog. Hardware description language: Two major languages. Many EDA tools support HDL-based design Verilog What is Verilog? Hardware description language: Are used to describe digital system in text form Used for modeling, simulation, design Two major languages Verilog (IEEE 1364), latest version is

More information

EECS 244 Computer-Aided Design of Integrated Circuits and Systems

EECS 244 Computer-Aided Design of Integrated Circuits and Systems EECS 244 Computer-Aided Design of Integrated Circuits and Systems Professor A. Richard Newton Room 566 Cory Hall 642-2967, rnewton@ic.eecs Office Hours: Tu. Th. 3:30-4:30pm Fall 1997 Administrative Details

More information

EE586 VLSI Design. Partha Pande School of EECS Washington State University

EE586 VLSI Design. Partha Pande School of EECS Washington State University EE586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 1 (Introduction) Why is designing digital ICs different today than it was before? Will it change in

More information

ECE 331 Digital System Design

ECE 331 Digital System Design ECE 331 Digital System Design Tristate Buffers, Read-Only Memories and Programmable Logic Devices (Lecture #17) The slides included herein were taken from the materials accompanying Fundamentals of Logic

More information

Design Methodologies. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic.

Design Methodologies. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Design Methodologies December 10, 2002 L o g i c T r a n s i s t o r s p e r C h i p ( K ) 1 9 8 1 1

More information

Electronic Design Automation Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Electronic Design Automation Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Electronic Design Automation Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture No #1 Introduction So electronic design automation,

More information

discrete logic do not

discrete logic do not Welcome to my second year course on Digital Electronics. You will find that the slides are supported by notes embedded with the Powerpoint presentations. All my teaching materials are also available on

More information

Memory and Programmable Logic

Memory and Programmable Logic Memory and Programmable Logic Memory units allow us to store and/or retrieve information Essentially look-up tables Good for storing data, not for function implementation Programmable logic device (PLD),

More information

COSC What is an embedded system?

COSC What is an embedded system? COSC 3215 Much of this material from the text or from the associated slides found at http://www.cs.ucr.edu/content/esd/ What is an embedded system? An embedded system is a system that has a dedicated processor

More information

TOPIC : Verilog Synthesis examples. Module 4.3 : Verilog synthesis

TOPIC : Verilog Synthesis examples. Module 4.3 : Verilog synthesis TOPIC : Verilog Synthesis examples Module 4.3 : Verilog synthesis Example : 4-bit magnitude comptarator Discuss synthesis of a 4-bit magnitude comparator to understand each step in the synthesis flow.

More information

UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163

UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163 UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163 LEARNING OUTCOMES 4.1 DESIGN METHODOLOGY By the end of this unit, student should be able to: 1. Explain the design methodology for integrated circuit.

More information

Programmable Logic Devices UNIT II DIGITAL SYSTEM DESIGN

Programmable Logic Devices UNIT II DIGITAL SYSTEM DESIGN Programmable Logic Devices UNIT II DIGITAL SYSTEM DESIGN 2 3 4 5 6 7 8 9 2 3 4 5 6 7 8 9 2 Implementation in Sequential Logic 2 PAL Logic Implementation Design Example: BCD to Gray Code Converter A B

More information

Basic FPGA Architectures. Actel FPGAs. PLD Technologies: Antifuse. 3 Digital Systems Implementation Programmable Logic Devices

Basic FPGA Architectures. Actel FPGAs. PLD Technologies: Antifuse. 3 Digital Systems Implementation Programmable Logic Devices 3 Digital Systems Implementation Programmable Logic Devices Basic FPGA Architectures Why Programmable Logic Devices (PLDs)? Low cost, low risk way of implementing digital circuits as application specific

More information

The Xilinx XC6200 chip, the software tools and the board development tools

The Xilinx XC6200 chip, the software tools and the board development tools The Xilinx XC6200 chip, the software tools and the board development tools What is an FPGA? Field Programmable Gate Array Fully programmable alternative to a customized chip Used to implement functions

More information

Topics. Midterm Finish Chapter 7

Topics. Midterm Finish Chapter 7 Lecture 9 Topics Midterm Finish Chapter 7 ROM (review) Memory device in which permanent binary information is stored. Example: 32 x 8 ROM Five input lines (2 5 = 32) 32 outputs, each representing a memory

More information

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function.

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function. FPGA Logic block of an FPGA can be configured in such a way that it can provide functionality as simple as that of transistor or as complex as that of a microprocessor. It can used to implement different

More information

Lecture 1: Introduction Course arrangements Recap of basic digital design concepts EDA tool demonstration

Lecture 1: Introduction Course arrangements Recap of basic digital design concepts EDA tool demonstration TKT-1426 Digital design for FPGA, 6cp Fall 2011 http://www.tkt.cs.tut.fi/kurssit/1426/ Tampere University of Technology Department of Computer Systems Waqar Hussain Lecture Contents Lecture 1: Introduction

More information

CMPE 415 Programmable Logic Devices Introduction

CMPE 415 Programmable Logic Devices Introduction Department of Computer Science and Electrical Engineering CMPE 415 Programmable Logic Devices Introduction Prof. Ryan Robucci What are FPGAs? Field programmable Gate Array Typically re programmable as

More information

Digital Systems Design. Introduction to embedded and digital systems

Digital Systems Design. Introduction to embedded and digital systems Digital Systems Design Introduction to embedded and digital systems Mattias O Nils and Benny Thörnberg 1 Outline Embedded systems overview What are they? Design challenge optimizing design metrics Technologies

More information

FPGA architecture and design technology

FPGA architecture and design technology CE 435 Embedded Systems Spring 2017 FPGA architecture and design technology Nikos Bellas Computer and Communications Engineering Department University of Thessaly 1 FPGA fabric A generic island-style FPGA

More information

ECE 595Z Digital Systems Design Automation

ECE 595Z Digital Systems Design Automation ECE 595Z Digital Systems Design Automation Anand Raghunathan, raghunathan@purdue.edu How do you design chips with over 1 Billion transistors? Human designer capability grows far slower than Moore s law!

More information

Introduction to ASICs. ni logic Pvt. Ltd., Pune

Introduction to ASICs. ni logic Pvt. Ltd., Pune Introduction to ASICs ni logic Pvt. Ltd., Pune The Wonderful World of Silicon About every two years, the number of transistors on a CMOS silicon chip doubles and the clock speed doubles..this rate of improvement

More information

Announcements. Midterm 2 next Thursday, 6-7:30pm, 277 Cory Review session on Tuesday, 6-7:30pm, 277 Cory Homework 8 due next Tuesday Labs: project

Announcements. Midterm 2 next Thursday, 6-7:30pm, 277 Cory Review session on Tuesday, 6-7:30pm, 277 Cory Homework 8 due next Tuesday Labs: project - Fall 2002 Lecture 20 Synthesis Sequential Logic Announcements Midterm 2 next Thursday, 6-7:30pm, 277 Cory Review session on Tuesday, 6-7:30pm, 277 Cory Homework 8 due next Tuesday Labs: project» Teams

More information

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 1: Introduction to VLSI Technology Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Course Objectives

More information

ECE 637 Integrated VLSI Circuits. Introduction. Introduction EE141

ECE 637 Integrated VLSI Circuits. Introduction. Introduction EE141 ECE 637 Integrated VLSI Circuits Introduction EE141 1 Introduction Course Details Instructor Mohab Anis; manis@vlsi.uwaterloo.ca Text Digital Integrated Circuits, Jan Rabaey, Prentice Hall, 2 nd edition

More information

PROGRAMMABLE MODULES SPECIFICATION OF PROGRAMMABLE COMBINATIONAL AND SEQUENTIAL MODULES

PROGRAMMABLE MODULES SPECIFICATION OF PROGRAMMABLE COMBINATIONAL AND SEQUENTIAL MODULES PROGRAMMABLE MODULES SPECIFICATION OF PROGRAMMABLE COMBINATIONAL AND SEQUENTIAL MODULES. psa. rom. fpga THE WAY THE MODULES ARE PROGRAMMED NETWORKS OF PROGRAMMABLE MODULES EXAMPLES OF USES Programmable

More information

Davide Rossi DEI University of Bologna AA

Davide Rossi DEI University of Bologna AA Lab of Digital Electronics M / Lab of Hardware-Software Design of Embedded Systems Davide Rossi DEI University of Bologna AA 2017-2018 Objective of this course Design of digital circuits with Hardware

More information

EE5780 Advanced VLSI CAD

EE5780 Advanced VLSI CAD EE5780 Advanced VLSI CAD Lecture 1 Introduction Zhuo Feng 1.1 Prof. Zhuo Feng Office: EERC 513 Phone: 487-3116 Email: zhuofeng@mtu.edu Class Website http://www.ece.mtu.edu/~zhuofeng/ee5780fall2013.html

More information

101-1 Under-Graduate Project Digital IC Design Flow

101-1 Under-Graduate Project Digital IC Design Flow 101-1 Under-Graduate Project Digital IC Design Flow Speaker: Ming-Chun Hsiao Adviser: Prof. An-Yeu Wu Date: 2012/9/25 ACCESS IC LAB Outline Introduction to Integrated Circuit IC Design Flow Verilog HDL

More information

Field Program mable Gate Arrays

Field Program mable Gate Arrays Field Program mable Gate Arrays M andakini Patil E H E P g r o u p D H E P T I F R SERC school NISER, Bhubaneshwar Nov 7-27 2017 Outline Digital electronics Short history of programmable logic devices

More information

ECE 353 Lab 4. MIDI Receiver in Verilog. Professor Daniel Holcomb UMass Amherst Fall 2016

ECE 353 Lab 4. MIDI Receiver in Verilog. Professor Daniel Holcomb UMass Amherst Fall 2016 ECE 353 Lab 4 MIDI Receiver in Verilog Professor Daniel Holcomb UMass Amherst Fall 2016 Timeline and Grading for Lab 4 Lectures on 11/15 and 11/17 Due on 12/12 Demos in Duda hall Schedule will be posted

More information

Chapter 10 Objectives

Chapter 10 Objectives Chapter 10 Topics in Embedded Systems Chapter 10 Objectives Understand the ways in which embedded systems differ from general purpose systems. Be able to describe the processes and practices of embedded

More information

Evolution of CAD Tools & Verilog HDL Definition

Evolution of CAD Tools & Verilog HDL Definition Evolution of CAD Tools & Verilog HDL Definition K.Sivasankaran Assistant Professor (Senior) VLSI Division School of Electronics Engineering VIT University Outline Evolution of CAD Different CAD Tools for

More information

FPGA How do they work?

FPGA How do they work? ent FPGA How do they work? ETI135, Advanced Digital IC Design What is a FPGA? Manufacturers Distributed RAM History FPGA vs ASIC FPGA and Microprocessors Alternatives to FPGAs Anders Skoog, Stefan Granlund

More information

Graphics: Alexandra Nolte, Gesine Marwedel, Universität Dortmund. RTL Synthesis

Graphics: Alexandra Nolte, Gesine Marwedel, Universität Dortmund. RTL Synthesis Graphics: Alexandra Nolte, Gesine Marwedel, 2003 Universität Dortmund RTL Synthesis Purpose of HDLs Purpose of Hardware Description Languages: Capture design in Register Transfer Language form i.e. All

More information

Electronic Control systems are also: Members of the Mechatronic Systems. Control System Implementation. Printed Circuit Boards (PCBs) - #1

Electronic Control systems are also: Members of the Mechatronic Systems. Control System Implementation. Printed Circuit Boards (PCBs) - #1 Control System Implementation Hardware implementation Electronic Control systems are also: Members of the Mechatronic Systems Concurrent design (Top-down approach?) Mechanic compatibility Solve the actual

More information

Hardware Description Languages. Introduction to VHDL

Hardware Description Languages. Introduction to VHDL Hardware Description Languages Introduction to VHDL 1 What does VHDL stand for? VHSIC (= Very High Speed Integrated Circuit) Hardware Description Language 2 Others HDL VHDL IEEE Std 1076-1993 Verilog IEEE

More information

Introduction to ICs and Transistor Fundamentals

Introduction to ICs and Transistor Fundamentals Introduction to ICs and Transistor Fundamentals A Brief History 1958: First integrated circuit Flip-flop using two transistors Built by Jack Kilby at Texas Instruments 2003 Intel Pentium 4 mprocessor (55

More information

EE 4755 Digital Design Using Hardware Description Languages

EE 4755 Digital Design Using Hardware Description Languages EE 4755 Digital Design Using Hardware Description Languages Basic Information URL: http://www.ece.lsu.edu/v Offered by: David M. Koppelman, Room 345 ERAD Building 578-5482. koppel@ece.lsu.edu, http://www.ece.lsu.edu/koppel/koppel.html

More information

What is this class all about?

What is this class all about? -Fall 2004 Digital Integrated Circuits Instructor: Borivoje Nikolić TuTh 3:30-5 247 Cory EECS141 1 What is this class all about? Introduction to digital integrated circuits. CMOS devices and manufacturing

More information

Hardware describing languages, high level tools and Synthesis

Hardware describing languages, high level tools and Synthesis Hardware describing languages, high level tools and Synthesis Hardware describing languages (HDL) Compiled/Interpreted Compiled: Description compiled into C and then into binary or directly into binary

More information

Introduction. Summary. Why computer architecture? Technology trends Cost issues

Introduction. Summary. Why computer architecture? Technology trends Cost issues Introduction 1 Summary Why computer architecture? Technology trends Cost issues 2 1 Computer architecture? Computer Architecture refers to the attributes of a system visible to a programmer (that have

More information

Embedded Controller Design. CompE 270 Digital Systems - 5. Objective. Application Specific Chips. User Programmable Logic. Copyright 1998 Ken Arnold 1

Embedded Controller Design. CompE 270 Digital Systems - 5. Objective. Application Specific Chips. User Programmable Logic. Copyright 1998 Ken Arnold 1 CompE 270 Digital Systems - 5 Programmable Logic Ken Arnold Objective Application Specific ICs Introduce User Programmable Logic Common Architectures Programmable Array Logic Address Decoding Example Development

More information

Introduction to Field Programmable Gate Arrays

Introduction to Field Programmable Gate Arrays Introduction to Field Programmable Gate Arrays Lecture 1/3 CERN Accelerator School on Digital Signal Processing Sigtuna, Sweden, 31 May 9 June 2007 Javier Serrano, CERN AB-CO-HT Outline Historical introduction.

More information

CMPE 415 Programmable Logic Devices FPGA Technology I

CMPE 415 Programmable Logic Devices FPGA Technology I Department of Computer Science and Electrical Engineering CMPE 415 Programmable Logic Devices FPGA Technology I Prof. Ryan Robucci Some slides (blue-frame) developed by Jim Plusquellic Some images credited

More information