Low-Power Processor Solutions for Always-on Devices

Size: px
Start display at page:

Download "Low-Power Processor Solutions for Always-on Devices"

Transcription

1 Low-Power Processor Solutions for Always-on Devices Pieter van der Wolf MPSoC 2014 July 7 11, Synopsys, Inc. All rights reserved. 1

2 Always-on Mobile Devices Mobile devices on the move Mobile devices are becoming context-aware Use sensors to monitor movement, heart rate, sound, etc. Enables new applications Smarter mobile devices performing new functions Changes the way users interact with the devices Always-on Always listening Microphone input Voice activation Always watching Camera input Face activation, wake-on-gesture Always sensing Sensor input Motion sensing, health & fitness monitoring Always connected Wireless links Cloud data push services, Bluetooth LE 2014 Synopsys, Inc. All rights reserved. 2

3 Wearables Always-on Mobile Devices Devices with different characteristics Android Hi-perf multicore CPU High-res graphics / video Cellular / WiFi / BT Off-chip DRAM Battery > 2000mAh Smartphone Glass Android Wear Lower-res graphics Smart watch Health / fitness band RTOS Low-power RISC-DSP No graphics Bluetooth LE On-chip memory Battery < 300mAh 2014 Synopsys, Inc. All rights reserved. 3

4 Wearables Always-on Mobile Devices Processors for always-on processing Video Applic proc GFX Sensor proc Separate core for always-on processing Smartphone Interconnect DDR Conn Periph Sensors Wake-up application processor only when needed Low power Glass Smart watch Processor for always-on Operate in different SoC contexts Fmax typically < 100 MHz Lowest power in each mode Mixed control and DSP > 10x lower power than application processor Battery in wearable needs to last weeks Multiple modes E.g. voice activation: Standby / detection mode Recognition mode Health / fitness band Sensor BT-LE Periph Sensors proc Interconnect Mixed control and DSP DSP for processing of sensor inputs 2014 Synopsys, Inc. All rights reserved. 4

5 Voice Activation Detect Sound detected False positive Recognize Wake-up Command recognized Detect System mostly resides in detect state, needs lowest power Very light workload (< 1 MHz) Recognize Activated when sound is detected Applies DSP algorithms to recognize voice command(s) Higher workload (5 10 MHz for single phrase recognition) Wake-up Trigger action in application 2014 Synopsys, Inc. All rights reserved. 5

6 Memory Configurations Closely coupled memories APB ICCM CPU Mem DMA DCCM Per1 Per2 AHB I$/D$ Per3 AHB DMA stores data in DCCM while processor sleeps DMA wakes up processor when buffer available After wake-up, processor does not have to access data over AHB bus No energy spent in bus accesses Lower latency processor can run at lower frequency 4.2x Sensor hub application Analysis of processing stage Core and bus at same frequency Bus-based with instruction fetch queue 2014 Synopsys, Inc. All rights reserved. 6

7 Voice Activation Power management Dynamic power (uw) 15 ms Detect Recognize Voice activation detect mode At 10 MHz processing duty cycle < 2.5% Opportunity for energy savings But need to allow access to DCCM for DMA Would be no different with memory on AHB bus Time 2014 Synopsys, Inc. All rights reserved. 7

8 > 20x Voice Activation Power management Dynamic power (uw) 15 ms Detect Recognize Sleep between executions of detect function Fast sleep and wake-up Low sleep power simple and effective Frequency scaling Saves sleep power (only) Requires clock domain crossings Voltage switching Saves leakage power as well Requires switch, clamps, data retention, PMU Sleep power Time 2014 Synopsys, Inc. All rights reserved. 8

9 Instruction Set Architecture It s all about power energy Minimize energy per function power x cycles Mixed control and DSP Good power and efficiency for RISC and DSP Code size Memory footprint, active memory power, I-cache miss rate Data types Fractional Q31, Q15, Q7 DSP instructions MUL/MAC operations Rounding & saturation Vector operations 2x16 & 4x8 Complex (16+16)x(16+16) Vector unpacking Vector 16x16 MAC acc.lo += a.lo * b.lo acc.hi += a.hi * b.hi Dual 16x16 MAC Inner-product style acc += a.lo * b.lo + a.hi * b.hi 2014 Synopsys, Inc. All rights reserved. 9

10 Energy Consumption For DSP workloads Q31 Q15 Energy consumption for categories of DSP functions Logic dynamic energy For Q31 & Q15 DSP functions Small code size Code size Q31: 57% Code size Q15: 89% Low power implementation Unified MUL/MAC unit Aggressive clock gating Operand gating / isolation Configurability & extensibility 2014 Synopsys, Inc. All rights reserved. 10

11 Energy Consumption For RISC and DSP workloads Note: energy consumed for executing fixed workloads 2014 Synopsys, Inc. All rights reserved. 11

12 ARC EM Processors Combining High Efficiency Control & Digital Signal Processing Power & Area Efficient Processors based on Extensible ARCv2DSP Architecture ARC EM Processors DesignWare ARC Floating Point Unit (FPU) ARC Processor EXtensions (APEX) ARCv2DSP Instruction Set Architecture (ISA) Instr. CCM IFQ Instr. Cache Execute 3 Stage Pipeline Memory Protection Unit Licensable Option EM5D Data Cache Data CCM DMP Memory MUL/MAC 32x32 Commit Divider Interrupt Controller Up to 2MB Instruction and Data CCMs EM7D I & D CCMs plus I & D Caches (up to 32K) Debug cjtag JTAG Real-Time Trace ARCv2DSP ISA adds over 100 new DSP-focused instructions Vector/SIMD, Matrix, Saturating & Complex Configurable DSP hardware features New EM5D & EM7D cores optimized for ultra low-power control and DSP Energy-efficient 3-stage RISC pipeline Unified single cycle 32x32 MUL/MAC unit Energy-efficient signal processing of voice/speech, audio and sensor data Optional Floating Point Unit (SP & DP) Easy software development with rich DSP software library & C/C++ Compiler 2014 Synopsys, Inc. All rights reserved. 12

13 Conclusions Need to optimize at all levels for low energy Efficient ISA for mixed control and DSP Energy efficient access to memories (CCMs) Low power hardware implementation (clock & operand gating) Effective sleep modes Configurability and extensibility Significant energy reductions can be achieved Good application fit is key Good design choices matter Flexibility is key to fit in different SoC contexts Memory architecture Power management schemes 2014 Synopsys, Inc. All rights reserved. 13

14 2014 Synopsys, Inc. All rights reserved. 14 Thank You

TINY System Ultra-Low Power Sensor Hub for Always-on Context Features

TINY System Ultra-Low Power Sensor Hub for Always-on Context Features TINY System Ultra-Low Power Sensor Hub for Always-on Context Features MediaTek White Paper June 2015 MediaTek s sensor hub solution, powered by the TINY Stem low power architecture, supports always-on

More information

ELC4438: Embedded System Design ARM Embedded Processor

ELC4438: Embedded System Design ARM Embedded Processor ELC4438: Embedded System Design ARM Embedded Processor Liang Dong Electrical and Computer Engineering Baylor University Intro to ARM Embedded Processor (UK 1990) Advanced RISC Machines (ARM) Holding Produce

More information

CEVA-X1 Lightweight Multi-Purpose Processor for IoT

CEVA-X1 Lightweight Multi-Purpose Processor for IoT CEVA-X1 Lightweight Multi-Purpose Processor for IoT 1 Cellular IoT for The Massive Internet of Things Narrowband LTE Technologies Days Battery Life Years LTE-Advanced LTE Cat-1 Cat-M1 Cat-NB1 >10Mbps Up

More information

Building Ultra-Low Power Wearable SoCs

Building Ultra-Low Power Wearable SoCs Building Ultra-Low Power Wearable SoCs 1 Wearable noun An item that can be worn adjective Easy to wear, suitable for wearing 2 Wearable Opportunity: Fastest Growing Market Segment Projected Growth from

More information

DesignWare IP for IoT SoC Designs

DesignWare IP for IoT SoC Designs DesignWare IP for IoT SoC Designs The Internet of Things (IoT) is connecting billions of intelligent things at our fingertips. The ability to sense countless amounts of information that communicates to

More information

From Boolean Algebra to Smart Glass

From Boolean Algebra to Smart Glass From Boolean Algebra to Smart Glass George Tai 2014/03 Boolean Algebra Why mathematics is the base for today s computer technology? In mathematics and mathematical logic, Boolean algebra is the subarea

More information

RM3 - Cortex-M4 / Cortex-M4F implementation

RM3 - Cortex-M4 / Cortex-M4F implementation Formation Cortex-M4 / Cortex-M4F implementation: This course covers both Cortex-M4 and Cortex-M4F (with FPU) ARM core - Processeurs ARM: ARM Cores RM3 - Cortex-M4 / Cortex-M4F implementation This course

More information

ARC HS4x and HS4xD CPUs: New Dual-Issue Architecture Boosts Embedded Processor Performance

ARC HS4x and HS4xD CPUs: New Dual-Issue Architecture Boosts Embedded Processor Performance : New Dual-Issue Architecture Boosts Embedded Processor Performance By Mike Demler Senior Analyst May 2017 www.linleygroup.com : New Dual-Issue Architecture Boosts Embedded Processor Performance By Mike

More information

ARM Processors for Embedded Applications

ARM Processors for Embedded Applications ARM Processors for Embedded Applications Roadmap for ARM Processors ARM Architecture Basics ARM Families AMBA Architecture 1 Current ARM Core Families ARM7: Hard cores and Soft cores Cache with MPU or

More information

STM32F429 Overview. Steve Miller STMicroelectronics, MMS Applications Team October 26 th 2015

STM32F429 Overview. Steve Miller STMicroelectronics, MMS Applications Team October 26 th 2015 STM32F429 Overview Steve Miller STMicroelectronics, MMS Applications Team October 26 th 2015 Today - STM32 portfolio positioning 2 More than 30 product lines High-performance 398 CoreMark 120 MHz 150 DMIPS

More information

Chapter 15 ARM Architecture, Programming and Development Tools

Chapter 15 ARM Architecture, Programming and Development Tools Chapter 15 ARM Architecture, Programming and Development Tools Lesson 07 ARM Cortex CPU and Microcontrollers 2 Microcontroller CORTEX M3 Core 32-bit RALU, single cycle MUL, 2-12 divide, ETM interface,

More information

Chapter 5. Introduction ARM Cortex series

Chapter 5. Introduction ARM Cortex series Chapter 5 Introduction ARM Cortex series 5.1 ARM Cortex series variants 5.2 ARM Cortex A series 5.3 ARM Cortex R series 5.4 ARM Cortex M series 5.5 Comparison of Cortex M series with 8/16 bit MCUs 51 5.1

More information

Multi-core microcontroller design with Cortex-M processors and CoreSight SoC

Multi-core microcontroller design with Cortex-M processors and CoreSight SoC Multi-core microcontroller design with Cortex-M processors and CoreSight SoC Joseph Yiu, ARM Ian Johnson, ARM January 2013 Abstract: While the majority of Cortex -M processor-based microcontrollers are

More information

Designing, developing, debugging ARM Cortex-A and Cortex-M heterogeneous multi-processor systems

Designing, developing, debugging ARM Cortex-A and Cortex-M heterogeneous multi-processor systems Designing, developing, debugging ARM and heterogeneous multi-processor systems Kinjal Dave Senior Product Manager, ARM ARM Tech Symposia India December 7 th 2016 Topics Introduction System design Software

More information

Oberon M2M IoT Platform. JAN 2016

Oberon M2M IoT Platform. JAN 2016 Oberon M2M IoT Platform JAN 2016 www.imgtec.com Contents Iot Segments and Definitions Targeted Use Cases for IoT Oberon targeted use cases IoT Differentiators IoT Power Management IoT Security Integrated

More information

Contents of this presentation: Some words about the ARM company

Contents of this presentation: Some words about the ARM company The architecture of the ARM cores Contents of this presentation: Some words about the ARM company The ARM's Core Families and their benefits Explanation of the ARM architecture Architecture details, features

More information

Each Milliwatt Matters

Each Milliwatt Matters Each Milliwatt Matters Ultra High Efficiency Application Processors Govind Wathan Product Manager, CPG ARM Tech Symposia China 2015 November 2015 Ultra High Efficiency Processors Used in Diverse Markets

More information

May Wu, Ravi Iyer, Yatin Hoskote, Steven Zhang, Julio Zamora, German Fabila, Ilya Klotchkov, Mukesh Bhartiya. August, 2015

May Wu, Ravi Iyer, Yatin Hoskote, Steven Zhang, Julio Zamora, German Fabila, Ilya Klotchkov, Mukesh Bhartiya. August, 2015 May Wu, Ravi Iyer, Yatin Hoskote, Steven Zhang, Julio Zamora, German Fabila, Ilya Klotchkov, Mukesh Bhartiya August, 2015 Legal Notices and Disclaimers Intel technologies may require enabled hardware,

More information

The Nios II Family of Configurable Soft-core Processors

The Nios II Family of Configurable Soft-core Processors The Nios II Family of Configurable Soft-core Processors James Ball August 16, 2005 2005 Altera Corporation Agenda Nios II Introduction Configuring your CPU FPGA vs. ASIC CPU Design Instruction Set Architecture

More information

Freescale i.mx6 Architecture

Freescale i.mx6 Architecture Freescale i.mx6 Architecture Course Description Freescale i.mx6 architecture is a 3 days Freescale official course. The course goes into great depth and provides all necessary know-how to develop software

More information

Building blocks for 64-bit Systems Development of System IP in ARM

Building blocks for 64-bit Systems Development of System IP in ARM Building blocks for 64-bit Systems Development of System IP in ARM Research seminar @ University of York January 2015 Stuart Kenny stuart.kenny@arm.com 1 2 64-bit Mobile Devices The Mobile Consumer Expects

More information

Mobile & IoT Market Trends and Memory Requirements

Mobile & IoT Market Trends and Memory Requirements Mobile & IoT Market Trends and Memory Requirements JEDEC Mobile & IOT Forum Ivan H. P. Lin ARM Segment Marketing Copyright ARM 2016 Outline Wearable & IoT Market Opportunities Challenges in Wearables &

More information

BANGLADESH UNIVERSITY OF ENGINEERING & TECHNOLOGY (BUET) DHAKA TECHNICAL SPECIFICATION FOR SUPPLY AND INSTALLATION OF LABORATORY EQUIPMENTS (PKG1).

BANGLADESH UNIVERSITY OF ENGINEERING & TECHNOLOGY (BUET) DHAKA TECHNICAL SPECIFICATION FOR SUPPLY AND INSTALLATION OF LABORATORY EQUIPMENTS (PKG1). BANGLADESH UNIVERSITY OF ENGINEERING & TECHNOLOGY (BUET) DHAKA TECHNICAL SPECIFICATION FOR SUPPLY AND INSTALLATION OF LABORATORY EQUIPMENTS (PKG1). Package number: G4 NO: HEQEP/CP-3137/Procurement/PacakageG4/26-08-2015/004

More information

Speeding AM335x Programmable Realtime Unit (PRU) Application Development Through Improved Debug Tools

Speeding AM335x Programmable Realtime Unit (PRU) Application Development Through Improved Debug Tools Speeding AM335x Programmable Realtime Unit (PRU) Application Development Through Improved Debug Tools The hardware modules and descriptions referred to in this document are *NOT SUPPORTED* by Texas Instruments

More information

Multimedia in Mobile Phones. Architectures and Trends Lund

Multimedia in Mobile Phones. Architectures and Trends Lund Multimedia in Mobile Phones Architectures and Trends Lund 091124 Presentation Henrik Ohlsson Contact: henrik.h.ohlsson@stericsson.com Working with multimedia hardware (graphics and displays) at ST- Ericsson

More information

Adding C Programmability to Data Path Design

Adding C Programmability to Data Path Design Adding C Programmability to Data Path Design Gert Goossens Sr. Director R&D, Synopsys May 6, 2015 1 Smart Products Drive SoC Developments Feature-Rich Multi-Sensing Multi-Output Wirelessly Connected Always-On

More information

Ultra Low Power GPUs for Wearables

Ultra Low Power GPUs for Wearables Ultra Low Power GPUs for Wearables Georgios Keramidas January 2015 The Company Who we are? Think Silicon is a privately held company founded in 2007. What we do? Development of low power GPU IP semiconductor

More information

ARM Cortex core microcontrollers 3. Cortex-M0, M4, M7

ARM Cortex core microcontrollers 3. Cortex-M0, M4, M7 ARM Cortex core microcontrollers 3. Cortex-M0, M4, M7 Scherer Balázs Budapest University of Technology and Economics Department of Measurement and Information Systems BME-MIT 2018 Trends of 32-bit microcontrollers

More information

New STM32 F7 Series. World s 1 st to market, ARM Cortex -M7 based 32-bit MCU

New STM32 F7 Series. World s 1 st to market, ARM Cortex -M7 based 32-bit MCU New STM32 F7 Series World s 1 st to market, ARM Cortex -M7 based 32-bit MCU 7 Keys of STM32 F7 series 2 1 2 3 4 5 6 7 First. ST is first to sample a fully functional Cortex-M7 based 32-bit MCU : STM32

More information

Low-power Architecture. By: Jonathan Herbst Scott Duntley

Low-power Architecture. By: Jonathan Herbst Scott Duntley Low-power Architecture By: Jonathan Herbst Scott Duntley Why low power? Has become necessary with new-age demands: o Increasing design complexity o Demands of and for portable equipment Communication Media

More information

Vertex Shader Design I

Vertex Shader Design I The following content is extracted from the paper shown in next page. If any wrong citation or reference missing, please contact ldvan@cs.nctu.edu.tw. I will correct the error asap. This course used only

More information

ARM Cortex -M7: Bringing High Performance to the Cortex-M Processor Series. Ian Johnson Senior Product Manager, ARM

ARM Cortex -M7: Bringing High Performance to the Cortex-M Processor Series. Ian Johnson Senior Product Manager, ARM ARM Cortex -M7: Bringing High Performance to the Cortex-M Processor Series Ian Johnson Senior Product Manager, ARM 1 ARM Cortex Processors across the Embedded Market Cortex -M processors Cortex -R processors

More information

The Use Of Virtual Platforms In MP-SoC Design. Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006

The Use Of Virtual Platforms In MP-SoC Design. Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006 The Use Of Virtual Platforms In MP-SoC Design Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006 1 MPSoC Is MP SoC design happening? Why? Consumer Electronics Complexity Cost of ASIC Increased SW Content

More information

A Closer Look at the Epiphany IV 28nm 64 core Coprocessor. Andreas Olofsson PEGPUM 2013

A Closer Look at the Epiphany IV 28nm 64 core Coprocessor. Andreas Olofsson PEGPUM 2013 A Closer Look at the Epiphany IV 28nm 64 core Coprocessor Andreas Olofsson PEGPUM 2013 1 Adapteva Achieves 3 World Firsts 1. First processor company to reach 50 GFLOPS/W 3. First semiconductor company

More information

MICROPROCESSOR TECHNOLOGY

MICROPROCESSOR TECHNOLOGY MICROPROCESSOR TECHNOLOGY Assis. Prof. Hossam El-Din Moustafa Lecture 20 Ch.10 Intel Core Duo Processor Architecture 2-Jun-15 1 Chapter Objectives Understand the concept of dual core technology. Look inside

More information

Advanced Heterogeneous Solutions for System Integration

Advanced Heterogeneous Solutions for System Integration Advanced Heterogeneous Solutions for System Integration Kees Joosse Director Sales, Israel TSMC High-Growth Applications Drive Product and Technology Smartphone Cloud Data Center IoT CAGR 12 17 20% 24%

More information

Advantages of MIPI Interfaces in IoT Applications

Advantages of MIPI Interfaces in IoT Applications Advantages of MIPI Interfaces in IoT Applications IoT DevCon Conference Hezi Saar April 27, 2017 Abstract In addition to sensors, high-resolution cameras are key enablers of IoT devices. The challenge

More information

Mobile & IoT Market Trends and Memory Requirements

Mobile & IoT Market Trends and Memory Requirements Mobile & IoT Market Trends and Memory Requirements JEDEC Mobile & IOT Forum Copyright 2016 [ARM Inc.] Outline Wearable & IoT Market Opportunity Challenges in Wearables & IoT Market ARM technology tackles

More information

A Scalable Speech Recognizer with Deep-Neural-Network Acoustic Models

A Scalable Speech Recognizer with Deep-Neural-Network Acoustic Models A Scalable Speech Recognizer with Deep-Neural-Network Acoustic Models and Voice-Activated Power Gating Michael Price*, James Glass, Anantha Chandrakasan MIT, Cambridge, MA * now at Analog Devices, Cambridge,

More information

Feasibility of Gateway-less IoT e-health Applications

Feasibility of Gateway-less IoT e-health Applications Feasibility of Gateway-less IoT e-health Applications C. PEREIRA, D. GUIMARÃES, J. MESQUITA, F. SANTOS, L. ALMEIDA, A. AGUIAR W O R K I N T H E S C O P E O F T H E N A N O S T I M A P R O J E C T ( N O

More information

Fujitsu System Applications Support. Fujitsu Microelectronics America, Inc. 02/02

Fujitsu System Applications Support. Fujitsu Microelectronics America, Inc. 02/02 Fujitsu System Applications Support 1 Overview System Applications Support SOC Application Development Lab Multimedia VoIP Wireless Bluetooth Processors, DSP and Peripherals ARM Reference Platform 2 SOC

More information

THE INDUSTRY CASE FOR DISTRIBUTED HETEROGENEOUS PROCESSING

THE INDUSTRY CASE FOR DISTRIBUTED HETEROGENEOUS PROCESSING THE INDUSTRY CASE FOR DISTRIBUTED HETEROGENEOUS PROCESSING December 2016 Lattice Semiconductor 111 5 th Ave., Suite 700 Portland, Oregon 97204 USA Telephone: (503) 268-8000 www.latticesemi.com WP0008 Introduction

More information

Power Management. José Costa. Software for Embedded Systems. Departamento de Engenharia Informática (DEI) Instituto Superior Técnico

Power Management. José Costa. Software for Embedded Systems. Departamento de Engenharia Informática (DEI) Instituto Superior Técnico Power Management José Costa Software for Embedded Systems Departamento de Engenharia Informática (DEI) Instituto Superior Técnico 2015-03-30 José Costa (DEI/IST) Power Management 1 Outline CPU Power Consumption

More information

Maximize energy efficiency in a normally-off system using NVRAM. Stéphane Gros Yeter Akgul

Maximize energy efficiency in a normally-off system using NVRAM. Stéphane Gros Yeter Akgul Maximize energy efficiency in a normally-off system using NVRAM Stéphane Gros Yeter Akgul Summary THE COMPANY THE CONTEXT THE TECHNOLOGY THE SYSTEM THE CO-DEVELOPMENT CONCLUSION May 31, 2017 2 Summary

More information

AT-501 Cortex-A5 System On Module Product Brief

AT-501 Cortex-A5 System On Module Product Brief AT-501 Cortex-A5 System On Module Product Brief 1. Scope The following document provides a brief description of the AT-501 System on Module (SOM) its features and ordering options. For more details please

More information

Wireless Mobile Video Solutions

Wireless Mobile Video Solutions Wireless Mobile Video Solutions MSBW- 11 MSBW- 11 Body Worn Personal CCTV System Product Specification Overview MSBW- 11 is a smart, small, handheld, wearable streaming media system from the field over

More information

Universität Dortmund. ARM Architecture

Universität Dortmund. ARM Architecture ARM Architecture The RISC Philosophy Original RISC design (e.g. MIPS) aims for high performance through o reduced number of instruction classes o large general-purpose register set o load-store architecture

More information

ECE 471 Embedded Systems Lecture 3

ECE 471 Embedded Systems Lecture 3 ECE 471 Embedded Systems Lecture 3 Vince Weaver http://web.eece.maine.edu/~vweaver vincent.weaver@maine.edu 10 September 2018 Announcements New classroom: Stevens 365 HW#1 was posted, due Friday Reminder:

More information

AIM: To create a project for implement a wireless communication protocol on an embedded system- ZigBee.

AIM: To create a project for implement a wireless communication protocol on an embedded system- ZigBee. AIM: To create a project for implement a wireless communication protocol on an embedded system- ZigBee. Introduction ZigBee is one of the Advanced Wireless Technology and CC2430 is the first single-chip

More information

TI SimpleLink dual-band CC1350 wireless MCU

TI SimpleLink dual-band CC1350 wireless MCU TI SimpleLink dual-band CC1350 wireless MCU Sub-1 GHz and Bluetooth low energy in a single-chip Presenter Low-Power Connectivity Solutions 1 SimpleLink ultra-low power platform CC2640: Bluetooth low energy

More information

Lesson 6 Intel Galileo and Edison Prototype Development Platforms. Chapter-8 L06: "Internet of Things ", Raj Kamal, Publs.: McGraw-Hill Education

Lesson 6 Intel Galileo and Edison Prototype Development Platforms. Chapter-8 L06: Internet of Things , Raj Kamal, Publs.: McGraw-Hill Education Lesson 6 Intel Galileo and Edison Prototype Development Platforms 1 Intel Galileo Gen 2 Boards Based on the Intel Pentium architecture Includes features of single threaded, single core and 400 MHz constant

More information

SH-X3 Flexible SuperH Multi-core for High-performance and Low-power Embedded Systems

SH-X3 Flexible SuperH Multi-core for High-performance and Low-power Embedded Systems SH-X3 Flexible SuperH Multi-core for High-performance and Low-power Embedded Systems Shinichi Shibahara 1, Masashi Takada 2, Tatsuya Kamei 1, Kiyoshi Hayase 1, Yutaka Yoshida 1, Osamu Nishii 1, Toshihiro

More information

Product Series SoC Solutions Product Series 2016

Product Series SoC Solutions Product Series 2016 Product Series Why SPI? or We will discuss why Serial Flash chips are used in many products. What are the advantages and some of the disadvantages. We will explore how SoC Solutions SPI and QSPI IP Cores

More information

A Developer's Guide to Security on Cortex-M based MCUs

A Developer's Guide to Security on Cortex-M based MCUs A Developer's Guide to Security on Cortex-M based MCUs 2018 Arm Limited Nazir S Arm Tech Symposia India Agenda Why do we need security? Types of attacks and security assessments Introduction to TrustZone

More information

The mobile computing evolution. The Griffin architecture. Memory enhancements. Power management. Thermal management

The mobile computing evolution. The Griffin architecture. Memory enhancements. Power management. Thermal management Next-Generation Mobile Computing: Balancing Performance and Power Efficiency HOT CHIPS 19 Jonathan Owen, AMD Agenda The mobile computing evolution The Griffin architecture Memory enhancements Power management

More information

STM32 F0 Value Line. Entry-level MCUs

STM32 F0 Value Line. Entry-level MCUs STM32 F0 Value Line Entry-level MCUs Key Messages 2 STM32 F0: Is the Cortex -M0 core generated with ST s STM32 DNA, for cost sensitive designs. The STM32 F0 is benefiting of STM32 DNA, providing the essential

More information

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow Moore s Law: Alive and Well Mark Bohr Intel Senior Fellow Intel Scaling Trend 10 10000 1 1000 Micron 0.1 100 nm 0.01 22 nm 14 nm 10 nm 10 0.001 1 1970 1980 1990 2000 2010 2020 2030 Intel Scaling Trend

More information

Designing with NXP i.mx8m SoC

Designing with NXP i.mx8m SoC Designing with NXP i.mx8m SoC Course Description Designing with NXP i.mx8m SoC is a 3 days deep dive training to the latest NXP application processor family. The first part of the course starts by overviewing

More information

Computer and Hardware Architecture II. Benny Thörnberg Associate Professor in Electronics

Computer and Hardware Architecture II. Benny Thörnberg Associate Professor in Electronics Computer and Hardware Architecture II Benny Thörnberg Associate Professor in Electronics Parallelism Microscopic vs Macroscopic Microscopic parallelism hardware solutions inside system components providing

More information

Exploring System Coherency and Maximizing Performance of Mobile Memory Systems

Exploring System Coherency and Maximizing Performance of Mobile Memory Systems Exploring System Coherency and Maximizing Performance of Mobile Memory Systems Shanghai: William Orme, Strategic Marketing Manager of SSG Beijing & Shenzhen: Mayank Sharma, Product Manager of SSG ARM Tech

More information

Hello and welcome to this Renesas Interactive module that provides an architectural overview of the RX Core.

Hello and welcome to this Renesas Interactive module that provides an architectural overview of the RX Core. Hello and welcome to this Renesas Interactive module that provides an architectural overview of the RX Core. 1 The purpose of this Renesas Interactive module is to introduce the RX architecture and key

More information

Roadmap Directions for the RISC-V Architecture

Roadmap Directions for the RISC-V Architecture Roadmap Directions for the RISC-V Architecture Andes RISC-V Con November 13, 2018 Linley Gwennap, Principal Analyst About Linley Gwennap Founder, principal analyst, The Linley Group Leading vendor of technical

More information

Hello, and welcome to this presentation of the STM32L4 power controller. The STM32L4 s power management functions and all power modes will also be

Hello, and welcome to this presentation of the STM32L4 power controller. The STM32L4 s power management functions and all power modes will also be Hello, and welcome to this presentation of the STM32L4 power controller. The STM32L4 s power management functions and all power modes will also be covered in this presentation. 1 Please note that this

More information

Versal: AI Engine & Programming Environment

Versal: AI Engine & Programming Environment Engineering Director, Xilinx Silicon Architecture Group Versal: Engine & Programming Environment Presented By Ambrose Finnerty Xilinx DSP Technical Marketing Manager October 16, 2018 MEMORY MEMORY MEMORY

More information

Copyright 2016 Xilinx

Copyright 2016 Xilinx Zynq Architecture Zynq Vivado 2015.4 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: Identify the basic building

More information

ARM ARCHITECTURE. Contents at a glance:

ARM ARCHITECTURE. Contents at a glance: UNIT-III ARM ARCHITECTURE Contents at a glance: RISC Design Philosophy ARM Design Philosophy Registers Current Program Status Register(CPSR) Instruction Pipeline Interrupts and Vector Table Architecture

More information

TI-RTOS overview. Nick Lethaby, TI-RTOS and IoT Ecosystem. May 2015

TI-RTOS overview. Nick Lethaby, TI-RTOS and IoT Ecosystem. May 2015 I-ROS overview Nick Lethaby, I-ROS and Io Ecosystem May 2015 1 What is I-ROS? Connectivity Wi-Fi, Bluetooth Smart, ZigBee, Cellular (via PPP), Wired CP/IP, LS/SSL Other Middleware USB, File Systems I -

More information

Mobile & IoT Market Trends and Memory Requirements

Mobile & IoT Market Trends and Memory Requirements Mobile & IoT Market Trends and Memory Requirements JEDEC Mobile & IOT Forum Daniel Heo ARM Segment Marketing Copyright ARM 2016 Outline Wearable & IoT Market Opportunities Challenges in Wearables & IoT

More information

STM32 MICROCONTROLLER

STM32 MICROCONTROLLER STM32 MICROCONTROLLER Lecture 2 Prof. Yasser Mostafa Kadah Harvard and von Neumann Architectures Harvard Architecture a type of computer architecture where the instructions (program code) and data are

More information

Digital Signal Processor Core Technology

Digital Signal Processor Core Technology The World Leader in High Performance Signal Processing Solutions Digital Signal Processor Core Technology Abhijit Giri Satya Simha November 4th 2009 Outline Introduction to SHARC DSP ADSP21469 ADSP2146x

More information

POWER7: IBM's Next Generation Server Processor

POWER7: IBM's Next Generation Server Processor POWER7: IBM's Next Generation Server Processor Acknowledgment: This material is based upon work supported by the Defense Advanced Research Projects Agency under its Agreement No. HR0011-07-9-0002 Outline

More information

Multicore and MIPS: Creating the next generation of SoCs. Jim Whittaker EVP MIPS Business Unit

Multicore and MIPS: Creating the next generation of SoCs. Jim Whittaker EVP MIPS Business Unit Multicore and MIPS: Creating the next generation of SoCs Jim Whittaker EVP MIPS Business Unit www.imgtec.com Many new opportunities Wearables Home wireless for everything Automation & Robotics ADAS and

More information

Age nda. Intel PXA27x Processor Family: An Applications Processor for Phone and PDA applications

Age nda. Intel PXA27x Processor Family: An Applications Processor for Phone and PDA applications Intel PXA27x Processor Family: An Applications Processor for Phone and PDA applications N.C. Paver PhD Architect Intel Corporation Hot Chips 16 August 2004 Age nda Overview of the Intel PXA27X processor

More information

Product Description. HUAWEI TalkBand B2 V200R001 HUAWEI TECHNOLOGIES CO., LTD. Issue 03. Date

Product Description. HUAWEI TalkBand B2 V200R001 HUAWEI TECHNOLOGIES CO., LTD. Issue 03. Date HUAWEI TalkBand B2 V200R001 Issue 03 Date 2015-04-17 HUAWEI TECHNOLOGIES CO., LTD. Huawei Technologies Co., Ltd. provides customers with comprehensive technical support and service. Please feel free to

More information

VertexCom. VC83X0 Product Brief. Version: 0.4 Release Date: June 28, Specifications are subject to change without notice.

VertexCom. VC83X0 Product Brief. Version: 0.4 Release Date: June 28, Specifications are subject to change without notice. VC VC83X0 Product Brief Version: 0.4 Release Date: June 28, 2018 Specifications are subject to change without notice. 2018 This document contains information that is proprietary to Unauthorized reproduction

More information

Systems in Silicon. Converting Élan SC400/410 Design to Élan SC520

Systems in Silicon. Converting Élan SC400/410 Design to Élan SC520 Converting Élan SC400/410 Design to Élan SC520 1 Élan SC400/410 Block Diagram Am486 Core 8K Cache Parallel Port Mobile Logic Blocks PCMCIA (2) (2) PIO 16550 UART SW Compatibility Blocks PIC DMA PIT (2)

More information

The S6000 Family of Processors

The S6000 Family of Processors The S6000 Family of Processors Today s Design Challenges The advent of software configurable processors In recent years, the widespread adoption of digital technologies has revolutionized the way in which

More information

Product Technical Brief S3C2413 Rev 2.2, Apr. 2006

Product Technical Brief S3C2413 Rev 2.2, Apr. 2006 Product Technical Brief Rev 2.2, Apr. 2006 Overview SAMSUNG's is a Derivative product of S3C2410A. is designed to provide hand-held devices and general applications with cost-effective, low-power, and

More information

A 50Mvertices/s Graphics Processor with Fixed-Point Programmable Vertex Shader for Mobile Applications

A 50Mvertices/s Graphics Processor with Fixed-Point Programmable Vertex Shader for Mobile Applications A 50Mvertices/s Graphics Processor with Fixed-Point Programmable Vertex Shader for Mobile Applications Ju-Ho Sohn, Jeong-Ho Woo, Min-Wuk Lee, Hye-Jung Kim, Ramchan Woo, Hoi-Jun Yoo Semiconductor System

More information

NXP Unveils Its First ARM Cortex -M4 Based Controller Family

NXP Unveils Its First ARM Cortex -M4 Based Controller Family NXP s LPC4300 MCU with Coprocessor: NXP Unveils Its First ARM Cortex -M4 Based Controller Family By Frank Riemenschneider, Editor, Electronik Magazine At the Electronica trade show last fall in Munich,

More information

Storage I/O Summary. Lecture 16: Multimedia and DSP Architectures

Storage I/O Summary. Lecture 16: Multimedia and DSP Architectures Storage I/O Summary Storage devices Storage I/O Performance Measures» Throughput» Response time I/O Benchmarks» Scaling to track technological change» Throughput with restricted response time is normal

More information

Introduction to ASIC Design

Introduction to ASIC Design Introduction to ASIC Design Victor P. Nelson ELEC 5250/6250 CAD of Digital ICs Design & implementation of ASICs Oops Not these! Application-Specific Integrated Circuit (ASIC) Developed for a specific application

More information

HEXIWEAR COMPLETE IOT DEVELOPMENT SOLUTION

HEXIWEAR COMPLETE IOT DEVELOPMENT SOLUTION HEXIWEAR COMPLETE IOT DEVELOPMENT SOLUTION NXP SEMICONDUCTORS PUBLIC THE ONLY SUPPLIER TO PROVIDE COMPLETE IoT SOLUTIONS DSPs, MCUs & CPUs Suite of Sensors NFC, BLE, Thread, zigbee, sub-ghz Wireless Interconnects

More information

Cypress PSoC 6 Microcontrollers

Cypress PSoC 6 Microcontrollers Cypress PSoC 6 Microcontrollers Purpose-Built for the Internet of Things WWW.CYPRESS.COM/PSOC6 Unmatched Solutions for the Internet of Things EMBEDDED IN TOMORROW The IoT is exploding, with more than 30

More information

Ultra Low Power Microcontroller - Design Criteria - June 2017

Ultra Low Power Microcontroller - Design Criteria - June 2017 Ultra Low Power Microcontroller - Design Criteria - June 2017 Agenda 1. Low power technology features 2. Intelligent Clock Generator 3. Short wake-up times 4. Intelligent memory access 5. Use case scenario

More information

Simplify System Complexity

Simplify System Complexity Simplify System Complexity With the new high-performance CompactRIO controller Fanie Coetzer Field Sales Engineer Northern South Africa 2 3 New control system CompactPCI MMI/Sequencing/Logging FieldPoint

More information

History. PowerPC based micro-architectures. PowerPC ISA. Introduction

History. PowerPC based micro-architectures. PowerPC ISA. Introduction PowerPC based micro-architectures Godfrey van der Linden Presentation for COMP9244 Software view of Processor Architectures 2006-05-25 History 1985 IBM started on AMERICA 1986 Development of RS/6000 1990

More information

Embedded Systems: Architecture

Embedded Systems: Architecture Embedded Systems: Architecture Jinkyu Jeong (Jinkyu@skku.edu) Computer Systems Laboratory Sungkyunkwan University http://csl.skku.edu ICE3028: Embedded Systems Design, Fall 2018, Jinkyu Jeong (jinkyu@skku.edu)

More information

Simplify System Complexity

Simplify System Complexity 1 2 Simplify System Complexity With the new high-performance CompactRIO controller Arun Veeramani Senior Program Manager National Instruments NI CompactRIO The Worlds Only Software Designed Controller

More information

SA-1500: A 300 MHz RISC CPU with Attached Media Processor*

SA-1500: A 300 MHz RISC CPU with Attached Media Processor* and Bridges Division SA-1500: A 300 MHz RISC CPU with Attached Media Processor* Prashant P. Gandhi, Ph.D. and Bridges Division Computing Enhancement Group Intel Corporation Santa Clara, CA 95052 Prashant.Gandhi@intel.com

More information

Specializing Hardware for Image Processing

Specializing Hardware for Image Processing Lecture 6: Specializing Hardware for Image Processing Visual Computing Systems So far, the discussion in this class has focused on generating efficient code for multi-core processors such as CPUs and GPUs.

More information

Fujitsu SOC Fujitsu Microelectronics America, Inc.

Fujitsu SOC Fujitsu Microelectronics America, Inc. Fujitsu SOC 1 Overview Fujitsu SOC The Fujitsu Advantage Fujitsu Solution Platform IPWare Library Example of SOC Engagement Model Methodology and Tools 2 SDRAM Raptor AHB IP Controller Flas h DM A Controller

More information

RM4 - Cortex-M7 implementation

RM4 - Cortex-M7 implementation Formation Cortex-M7 implementation: This course covers the Cortex-M7 V7E-M compliant CPU - Processeurs ARM: ARM Cores RM4 - Cortex-M7 implementation This course covers the Cortex-M7 V7E-M compliant CPU

More information

POWER7: IBM's Next Generation Server Processor

POWER7: IBM's Next Generation Server Processor Hot Chips 21 POWER7: IBM's Next Generation Server Processor Ronald Kalla Balaram Sinharoy POWER7 Chief Engineer POWER7 Chief Core Architect Acknowledgment: This material is based upon work supported by

More information

Overcoming Hurdles in Wearable Device Designs. John Logan Atmel

Overcoming Hurdles in Wearable Device Designs. John Logan Atmel Overcoming Hurdles in Wearable Device Designs John Logan Atmel What is a Wearable New and exciting form factors Touch Screen Clothing Really new and exciting form factors Zoomable Contact Lenses Source,

More information

Embedded Systems Architecture

Embedded Systems Architecture Embedded System Architecture Software and hardware minimizing energy consumption Conscious engineer protects the natur M. Eng. Mariusz Rudnicki 1/47 Software and hardware minimizing energy consumption

More information

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info.

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info. A FPGA based development platform as part of an EDK is available to target intelop provided IPs or other standard IPs. The platform with Virtex-4 FX12 Evaluation Kit provides a complete hardware environment

More information

DEVELOPING APPS FOR. Note: This ebook relies on and uses information from the Google Glass Developers site.

DEVELOPING APPS FOR. Note: This ebook relies on and uses information from the Google Glass Developers site. DEVELOPING APPS FOR Note: This ebook relies on and uses information from the Google Glass Developers site. Table of Contents GLASS What is Google Glass? 3 Technology Basics.... 3 Design for Google Glass

More information

High-Performance, Low-Power and Low-Cost SoC Design Techniques for Consumer Electronics Products By Shinya Fujimoto

High-Performance, Low-Power and Low-Cost SoC Design Techniques for Consumer Electronics Products By Shinya Fujimoto DRAFT - V7 High-Performance, Low-Power and Low-Cost SoC Design Techniques for Consumer Electronics Products By Shinya Fujimoto CHALLENGES IN THE EXPANDING DIGITAL WORLD The Consumer Electronics Association

More information

Advanced processor designs

Advanced processor designs Advanced processor designs We ve only scratched the surface of CPU design. Today we ll briefly introduce some of the big ideas and big words behind modern processors by looking at two example CPUs. The

More information