Cadence IC Design Manual

Size: px
Start display at page:

Download "Cadence IC Design Manual"

Transcription

1 Cadence IC Design Manual For EE5518 ZHENG Huan Qun Lin Long Yang Revised on May 2017 Department of Electrical & Computer Engineering National University of Singapore 1 P age

2 Contents 1 INTRODUCTION Overview of Design Flow Getting Started with Cadence Using Online Help Exit Cadence SCHEMATIC ENTRY Creating a New Design Library Creating a Schematic Cellview Adding Components to Schematic Adding Pins to Schematic Adding Wires to Schematic Saving Your Design SYMBOL AND TEST CIRCUIT CREATION Creating Symbol Editing Symbol Building Test Bench SIMULATING YOUR CIRCUIT Start the Simulation Environment Selecting Project Directory Setup Model Library Choosing the Desired Analysis Setup Variables Saving Simulation Data Saving Output for Plotting Viewing the Netlists Running the Simulation PHYSICAL LAYOUT Layout vs Symbol of CMOS Devices Starting Layout Editor Vias Changing the Grid Inserting and Editing Instances P age

3 5.6 Drawing Shapes / Paths Creating Pins DESIGN VERIFICATION: DRC AND LVS Performing DRC Performing LVS Performing PEX POST LAYOUT SIMULATION Simulation the Extracted Cell View CONCLUSION P age

4 1 INTRODUCTION This manual describes how to use Cadence IC design tools. It covers the whole design cycle, from the front-end to the back-end, i.e., from the pre-layout design to the post-layout design. The manual aims to provide a guide for fresh users. Following the manual, users can start doing analog IC design even though the users don t have any knowledge of the tools. An inverter is used to illustrate the whole cycle of analog IC design, and Cadence Generic 45nm (cg45nm) kit is the technology library used for implementing the inverter. The method stated in the manual can be applied to other type of analog circuit design. 1.1 Overview of Design Flow Figure 1 shows a typical analog IC design flow. The design flow starts from schematic entry with the Cadence schematic capture tool Schematic Editor. Devices or cells from the cg45nm or other libraries are used to build your circuit. Your design is hierarchical; therefore higher level schematics also incorporate cells which you have already developed. The schematics which you enter at this stage therefore typically consist of a number of base library cells and also lower level cells designed yourself. These are described in Sections 2 and 3 of the manual. When you have finished designing a particular circuit, you need to simulate it to ensure that it works as expected. It would be unlikely that your circuit works as expected at the first time so you have to repeat the cycle to improve the circuit, as shown in Figure 1, until the circuit works satisfactorily. This must be done for each sub-circuit of your design and then for the top level design. How to simulate and view the performance of simulation results are presented in Sections 4 of the manual. When the performance of the circuit is satisfactory, it is ready to start the physical design or layout of the circuit. The layout starts with the cell or device placement. Once the cells have been placed, routing can be carried out. Routing connects the cells/device of the design. After finishing placement and routing, the layout has to go through the Design Rule Check (DRC) with rule decks provided by PDK provider, to ensure that there is no design rule violation in the layout. The layout has to be rectified accordingly to the rules requirement till it passes DRC. Upon a successful DRC, it is Layout-versus-Schematic (LVS) check, to assure that all connections in the layout are correct. The layout has to be amended accordingly to the schematic If LVS doesn t pass. DRC has to be done whenever layout is changed. The process is repeated until the LVS passes. 4 P age

5 Figure 1. Analog IC Design Flow 5 P age

6 The next step is parasitic extraction (PEX) to get the extracted view of the circuit, which is used for post layout simulation. The extracted view includes the parasitic effects in both the instances/devices and the required wiring interconnects of the circuit. Following DRC, LVS and PEX, it is post-layout simulation. The post-layout simulation is essential to make sure that the circuit with the extra parasitic parameters functions well and still meet the design specifications. If the performance of the post-layout simulation is not acceptable, back to the stage of schematic entry to check the circuit. Basically, re-design the circuit is necessary. Repeat the whole flow until the results of the post-layout simulation meet the design specifications. If everything is satisfactory, the next stage is GDSII Generation. It generates a file which depicts the low level geometry of layout. GDSII format is industry standard format suitable for a semiconductor company to fabricate and manufacture the chip of layout. This is briefed in the last section of the manual. 1.2 Getting Started with Cadence Upon logging into your account, you will be brought to the Linux Desktop Environment. Right click on the desktop and click Open Terminal to open a window on the desktop. This window is the Linux command line prompt at which you can run Linux commands. After running a Linux command, this window also shows the output of the command. The following steps show how to start Cadence with cg45nm kit. A. Create a working directory - project (it can be any name as you like) with the command: mkdir project where mkdir is Linux command and the project is the directory name; B. Enter the working directory with the command: cd project where the cd is the Linux command; C. Type the followings commands to do the environment setup for using Cadence Generic 45nm PDK. cp /app11/cg45nm/users/cds.lib. cp /app11/cg45nm/users/assura_tech.lib. cp /app11/cg45nm/users/pvtech.lib. D. Start cadence in the working directory project with the following command: virtuoso & where virtuoso is the command to start Cadence IC design tool. Now, Cadence tools are successfully started. Keeps only the Command Input Window (CIW) which is shown in Figure 2. 6 P age

7 Figure 2. CIW Window Do not close this CIW and try to keep it in view whenever you are using Cadence. Error messages and output from some of the tools are always sent to the CIW. If something doesn't appear to be working, always check the CIW for error messages. In addition, the CIW allows the user great control over Cadence by interpreting skill commands which are typed into it. E. In the CIW, select Tools Library Manager. The Library Manager pop up as in Figure 3. The Library Manager is where you create, add, copy, delete and organize your libraries and cell views. Figure 3. Library Manager Window 7 P age

8 You can see that the library gpdk045 appears in the Library column of the library manager. Now, you have started Cadence tool and loaded the cg45nm kit successfully. There are some documents in /app11/cg45nm/ gpdk045_v4_0/docs, and you can always refer to these documents for the information such as devices, device models, DRC rules and others related to cg45nm kit. Next time, you need only to repeat the steps B and D, for launching Cadence virtuoso and doing your project. 1.3 Using Online Help Cadence provides a comprehensive online manuals for all Cadence tools. You can launch the online help by typing the following command at the Linux prompt. cdnshelp This invokes the online software manuals. Alternately, there is a help menu on each Cadence window. Manual which is related to that window related will pop-up once clicking on the help button. 1.4 Exit Cadence To exit Cadence, just click on the cross sign X or File Exit in CIW. It is necessary to exit Cadence when it is not in use. Your library file would be locked or cannot edited next time if Cadence was not exited properly. 8 P age

9 2 SCHEMATIC ENTRY Now that Cadence is running, you are almost ready to start entering schematics. However, you must first create a library which will be used to store all the parts of your design. Then, schematic can be created in the library. 2.1 Creating a New Design Library A. In the Library Manager window, select File New Library. New Library form pops up as shown in Figure 4. B. In the New Library form referring to Figure 4, key in your design library name (example: test) in the field of Name, and then click Ok. C. Click Ok in the pop-up window - the Technology File for New Library, referring to Figure 5. D. Choose gpdk045 in the Attach Library to Technology Library form, referring to Figure 6, and then click Ok. Figure 4. New Library Form Figure 5. Technology File for New Library Form 9 P age

10 Figure 6. Attach Library to Technology File Form A new library, named test, should appear in your Library Manager window. 2.2 Creating a Schematic Cellview A. In Library Manager, select the Library where you would like to create a schematic. Then, select File New Cell View. B. Set up the New File form as Figure 7 Figure 7. Create CellView C. Click OK when done. A blank schematic window for the "inv" (your cell name) schematic appears. Explore the functions available by putting your mouse over the toolbar and fixed menu icons. 10 P age

11 In addition, note that some of the menu selections have alphabets listed to the right of them. These are bind-key or shortcut-key definitions which are very useful in the long run. Test them out during the schematic drawing in subsequent steps. 2.3 Adding Components to Schematic Figure 8 shows the schematic which you are going to patch, and the property of each component is listed in Table 1. Figure 8. Inverter Circuit Tabel 1. Component Properties of Figure 8: Inverter Circuit Components Library Name Cell Name Properties PMOS gpdk045 pmos1v l:45nm w:120nm (default size) NMOS gpdk045 nmos1v l:45nm w:120nm (default size) Here is the example on how to add component instances by placing cell views from libraries. Type i bind-key or select Create Instance in the schematic window or click on the menu bar to display Add Instance form. Then in the Add Instance window, select gpdk045 as Library, choose the NMOS transistor by selecting nmos1v in Cell and also choose symbol as View, as shown in Figure P age

12 Figure 9. Add Instance Form Similarly, add the pmos1v into the schematic. As an example, here we just keep all the parameters as default. If you place a component with the wrong parameter values, select the component and type q bindkey or use the Edit Properties Objects command to change the parameters. Use the Edit Move command or type m if you place components in the wrong location. 2.4 Adding Pins to Schematic You must place I/O pins in your schematic to identify the inputs and the outputs. A pin can be an input, output or an input-output (bi-directional) pin. 12 P age

13 Type p or select Add Pin from inv Schematic Window or click the Pin fixed menu icon in the schematic window. The Add Pin form appears as Figure 10. Figure 10. Add Pin Form Click Hide and move you cursor to the Schematic Window. Place pins at the correct places and click right mouse key to rotate the pin if necessary. Add pins according to Table 2, paying attention to the direction. Table 2. Pin Names and Direction of inv Pin Names Vin Vout VDD, GND Direction Input Output Input-Output Caution: Do not use the add component form to place schematic pins. 2.5 Adding Wires to Schematic Add wires to connect the components and pins in the design. A. Type w or select Add Wire (narrow) in Schematic Window or click (narrow) fixed menu icon. B. In the schematic window, click on a pin of one of your components as the first point for your wiring. A diamond shape appears over the starting point of this wire. 13 P age

14 C. Follow the prompts at the bottom of the design window and click left mouse key on the destination point for your wire. D. Continue wiring the schematic. When done wiring, press Esc with your cursor in the schematic window to cancel wiring. 2.6 Saving Your Design Check the design to ensure that it is correct and save the design. A. Click the Check and Save icon in the schematic window. B. Observe the CIW output area, for the information of the check and save action. 14 P age

15 3 SYMBOL AND TEST CIRCUIT CREATION Symbols are useful when creating designs as it is impractical to show every transistor on the top level schematic. Instead, the symbols of cells are created in order to instantiate them in the higher level schematics and make them more readable (i.e. hierarchical designs). Create a symbol for your design so you can place it in a test circuit for simulation. 3.1 Creating Symbol A. In the inv schematic window, select Create Cellview From Cellview. Cellview From Cellview pops up as shown in Figure 11. Figure 11. Cellview From Cellview Form B. Click OK in the Cellview From Cellview form. The Symbol Generation Options form appears as Figure 12. Enter the information listed in Table 3 for the symbol. Table 3: Pin Spectifications Left Pins : Vin Right Pins : Vout Top Pins: VDD Bottom Pins: GND 15 P age

16 Figure 12. Symbol Generation Options Form C. Click OK in the Symbol Generation Options form. A window with a symbol created automatically by the tools pops up, referring to Figure 13. Figure 13. Symbol Generated Automatically D. Observe the CIW output pane and note the messages stating Adding CDF information Editing Symbol You can modify the symbol to have a more meaningful shape for easy recognition. 16 P age

17 A. Move your cursor over the symbol, until the entire green rectangle is highlighted. Click left to select it. B. Click Delete icon in the symbol window to delete the green rectangle. C. Select Create Shape Polygon. Follow the prompts at the bottom of the symbol, and draw the triangle shown in Figure 14. D. Type m or click Move icon in the symbol window, move the pins to the final destination. E. Select [@partname], and use Edit Properties Object to change it to inverter as shown in Figure 14. Figure 14. Edit Object Properties Form F. Save your edited symbol view. The final symbol is shown in Figure 15. Figure 15. Symbol of inv 17 P age

18 3.3 Building Test Bench To test the inverter that you have just built, you need to create a test bench. This test bench will also be used during the post-layout simulation. Creating an inv_test schematic cellview with the below information, following the steps listed in Section 2 SCHEMATIC ENTRY. The test bench is as shown in Figure 17. Library Name : test Cell Name : inv_test View Name : schematic Library Name Cell Name Properties test inv_test analoglib Vdc VDD analoglib vpulse Referring to Figure 16 analoglib gnd GND analoglib cap 1f F 18 P age

19 Figure 16. Vpulse Form 19 P age

20 Figure 17. Test Bench inv_test for inv Circuit Note: There are wire names Vin and Vout in Figure 17. These can be created by clicking on Create Wire Name on the inv_test schematic window. Key in Vin Vout in the Names field of the Add Wire Name form, and then click Hide. Moving your mouse to the schematic window, click the wire where you want it to be named in the same sequence as typing the names in the Names field. 20 P age

21 4 SIMULATING YOUR CIRCUIT Before starting the simulation, make sure that the schematic (inv_test) is open, then perform the following steps. 4.1 Start the Simulation Environment In your schematic window, select Launch ADE L. The Analog Design Environment (ADE) window appears as shown in Figure Selecting Project Directory Figure 18. ADE Window In the ADE window, select Setup Simulator/ Directory/ Host. A Choosing Simulator form appears as Figure 19. In the Project Directory blank, type in /var/tmp/(desired folder name) to save your simulation files in the /var/tmp directory on the local server. Click OK to confirm. Figure 19. Choosing Simulator/Directory/Host Form 21 P age

22 As each user account has a limited quota, this helps to conserve memory space in your account and prevents you from exceeding your account quota. However, note that contents in this folder is deleted periodically every 30 days automatically. 4.3 Setup Model Library In the ADE window, select Setup Model Libraries. The Model Library setup form appears. Double click the column of section, and then click the down arrow to choose tt which is typical N and P model parameters. The model library setup for the inv_test circuit is shown in Figure 20. Click ok on the setup form to finish the settings. The information of models can be found in /app11/cg45nm/gpdk045_v4_0/docs/gpdk045_pdk_referencemanual.pdf. 4.4 Choosing the Desired Analysis Figure 20. Model Library Setup for inv_test In the ADE window, click the Choose Analyses icon. The Choosing Analyses form appears. Cadence ADE is able to run several types of simulations consecutively. You are then able to view the signals from different simulations at the same time. In this example, we will do transient analysis, so we shall setup transient analyses through the ADE as Figure P age

23 Figure 21. Setup for Transient Analyses 4.5 Setup Variables There is a variable, VDD, in the inv_test circuit. We need to set a value to it before starting simulation. In the ADE window, click Variables. Enter the name as the variable name VDD, then set the value as 1.1, and finally click Ok. Please take note that 1.1v is the nominal voltage for this technology. Figure 22. Editing Design Variables 23 P age

24 4.6 Saving Simulation Data The simulation environment is configured to save all node voltages in the design by default. In larger designs, where saving all of the data requires too much disk space, you can select a specific set of node to save. Following steps show you how to select terminals to save. A. In the ADE window, select Outputs Save All. B. The Keep Options form appears. Do not modify the form at this time. However, if you need to save less data, under the first option Select signals to output, Click selected. 4.7 Saving Output for Plotting Select the signals that you would like to observe. A. Select Outputs To Be Plotted Select On Design. B. Note that if you click on wires / nets, voltage signals are selected. If you click on connection nodes, currents flowing through that note and into the component are saved. C. Follow the prompts at the bottom of the schematic window. Click on the output wires labeled with Vout and Vin (select the wire that you want to monitor). D. Press Esc with your cursor in the schematic window when finished. Now you have set up the simulation environment which as shown in Figure 23. You can save the simulation state. This saves all the information such as the Model Path, outputs, analyses, environment options, and variables so that you do not need to set these parameters the next time again. Figure 23. ADE window with completed settings 24 P age

25 In the ADE window, select Session Save State. Tick Cellview and then click OK. You can recall your settings by selecting Session Load State. 4.8 Viewing the Netlists Sometimes, you need to view the netlist of your circuit or design. You can do so through the ADE, select Simulation Netlist Create / Display / Recreate. If there are any errors encountered during this step, check the messages in the CIW and retrace your steps to see that all data was entered properly. 4.9 Running the Simulation Select Simulation Netlist and Run to start the simulation or click on the Run Simulation icon in the Simulation Window. After the simulation is done, a waveform window will pop up showing the simulation results as Figure 24. Click on the waveform window to separate Vin and Vout. You can create a horizontal or vertical marker by clicking Marker on the waveform window. For example, creating a horizontal marker on Figure 24 with put Y Postion at 0.5*VDD=550mV, and then zoom in. The waveform window will look like Figure 25. Delays of the inverter could be found from the reading on the marker. 25 P age

26 Figure 24. Output of Simulation 26 P age

27 Figure 25. Waveform with Marker. Explore the icons on the toolbar as well as the various items on the menu. Try to add markers as that is something that will be used often during your simulations. You can also update the titles and labels on your plot to make them easy to read or more meaningful, if necessary. *Quick Tip : Shortcuts a and b to place a delta marker where you observe the difference between two points. What does shortcuts v and h do? There are many other functions available in the calculator tool, explore and play around with them. By now, you have finished pre-layout simulation (schematic level simulation). Next, you need to draw the layout of the inverter circuit and then do post-layout simulation to check your circuit performance. 27 P age

28 5 PHYSICAL LAYOUT By now, you should know how to create and simulate your circuit. Once the performance of your design is satisfactory, the next step in the process of making an integrated circuit chip is to create a layout. What is a layout? A layout is basically a drawing of the masks from which your design will be fabricated. Therefore, layout is just as critical as specifying the parameters of your devices. Before we get into the layout, first you need to understand the design rules for layout. Design rules give guidelines for generating layouts. They dictate spaces between wells, sizes of contacts, minimum spacing between a poly and a metal, and many other similar rules. Design rules are essential to any successful layout design, since they account for the various allowances that need to be given during actual fabrication and to account for the sizes and the steps involved in generating masks for the final layout. Note that the layout is very much process dependent, since every process has a certain fixed number of available masks for layout and fabrication. You may find more details on the Design Rules Manual (DRM): /app11/cg45nm/gpdk045_v_4_0/docs/gpdk045_drc.pdf 5.1 Layout vs Symbol of CMOS Devices In this section, we look at only three devices: nmos1v and pmos1v. Check the process document, you can find the information for other devices. Figure 26 shows the nmos1v device. From layout view, you can see that the terminal B is the black background of the layout window. D S Figure 26. Layout vs Symbol of NMOS G B Figure 27 shows the pmos1v device, which looks similar to NMOS device but with P type implant (orange-stripe layer) and N-well (purple surrounding layer). 28 P age

29 Figure 27. Layout vs Symbol of PMOS 5.2 Starting Layout Editor Now we are going to create a new layout in the cell inv in test library. A. In Library Manager, select File New Cellview... A Create New File form pops up. B. Select "test" as Library Name; enter "inv" as Cell Name, "layout" as View Name. C. Choose Open with Layout XL, and then click OK. Figure 28. Create Cellview Layout 29 P age

30 Useful layer selection feature Figure 29. Layout Window Cell "inv" with "layout" view in library "test" will be created. It is opened up automatically, followed by inv schematic window, as shown in Figure 29. The layout editor contains two main sub-windows, namely the Layers sub-window on the left and Layout Editing window on the right. Notice the Layers sub-window on the left side of the layout view. This sub-window displays the fabrication layers defined in the technology. You can find the cross sectional profile in the process documents. Each layer is represented by a different color and pattern for easier differentiation. The black background on the right can be interpreted as the p-substrate of the wafer. To hide a layer, use the middle scroll button to click on a layer. To disable a layer from use, use the right mouse button. You might notice that some layer names appear more than once in the Layers sub-window. For example, Metal1 appears two times: one as Metal1 drawing, the other as Metal1 pin. Metal1 drawing is a layer with drawing purpose, and such layers with drawing purposes will be fabricated in the mask. The pin layers are symbolic layers and serve to indicate position of I/O pins and define net names. Such layers are not part of the mask layout and will not be fabricated. 30 P age

31 5.3 Vias Vias are used to connect between layers, much like those used in PCB design. There are different types of vias for different layer pairs. Normally a via is only for connecting two successive layers, e.g., Metal 1 and Metal 2. In case there is a metal jump between more than two layers, via stacking is required. In the layout window, click Create Via or type o to bring up the via menu. Place the vias on the layout editing window, you can observe the layers that are involved in each type of via. Experiment with the different modes and configurations in the via menu to create arrays and stacks of vias as well. For example, A. Click on Create Via, the Create Via window pops up as figure 30 shows. B. Choose M1_PO under Via Definition, and click on the layout window to place it and then press Esc button to stop the placing. You can change the number of Rows and Columns on the Create Via form. C. To view the layers of M1_PO, click to select it first and then press Shift + f key. Observe the via appears different. D. To check the layers used in via M1_PO, select it and then click Edit Hierarchy Flatten as shown in figure 31. Click OK on the pop-up form shown in Figure 32. E. Now, you can separate the layers and check layers property to find out the layers name. Via M1_PO connects layers Metal 1 and Poly as shown in Figure 33. Try to explore different options (Rows, Columns, Stack, etc.) under via menu by yourself, this will be very helpful for layout drawing. Figure 30. Create Via windows 31 P age

32 Figure 31. Edit Via Figure 32. Flatten Form 32 P age

33 Figure 33. Via M1_PO The M1_PSUB and M1_NWELL contacts are substrate and n-well contacts that are used to connect the bulks of the NMOS and PMOS respectively. For the inverter circuit used in this manual, the bulks of the NMOS and PMOS need to be connected to ground (GND) and VDD respectively. 5.4 Changing the Grid In Figure 29, the black window on the right is the layout editing window. The position of the cursor in layout editing window is indicated by the coordinate showed on the top right corner of the window after X: and Y:. The unit here is "µm". Move your cursor around the editing window and see the X: Y: values change with step size 0.1. Change the step size to as that is the minimum step size for this technology. From Layout Editing window pull down menu, select Options Display... change "X Snap Spacing" and "Y Snap Spacing" to then click on "OK". Now move the cursor around the editing window again, you will see the X: Y: values change with step size There are raw grid and fine grid (as small dots) on the window background. If you cannot clearly see the raw grids, from pull down menu select Window Zoom out by 2 In addition to pull down menu and bind key "z", "Zoom Out" is also listed in the picture tool bar to the left of the window. Find it and try it out. Also you may use up, down, left, and right arrows to move around the design window. You will need to use "Zoom in" and "Zoom out" and those arrows many times throughout your design process. So it's not a bad idea to practice them a little bit now. To save and close the cell view, from Virtuoso Editing window, Select Design Save. 33 P age

34 5.5 Inserting and Editing Instances Now, we can begin drawing the layout. Open your schematic so you may refer to it at all times, if it is not opened. A. Select Create Instance or type i to insert the layout of instances. They can be found in the same library and cell name as the schematic symbol. B. Figure 34 is an example of inserting the layout of nmos4. Edit the width and length so they correspond to the schematic design. Note that the view is layout, not symbol. Figure 34. Add NMOS Device with View Layout C. Place them and type Shift+F to reveal all the layers. D. To change the location of instances, go to Edit Move or type the m bind key and click on the component. If you need to rotate or flip the layout, hit F3 and the Move Menu will appear as Figure 35. You can rotate and flip the layouts through this convenient side menu. 34 P age

35 Figure 35. Move Form Figure 36 shows the layout after inserting all the instances of the inverter Circuit. Note that there are two more instances compared to the inv Schematic in Figure 10. They are M1_NWELL and M1_PSUB (NOTE: you can ignore the PWdummy layer), for connecting the nwell to VDD and the p-substrate to GND respectively. The nwell is drawn with the NWELL layer, while the p-substrate is the black background of the layout window. Figure 36. Inverter Layout before Routing 5.6 Drawing Shapes / Paths To connect two points together, we need to draw shapes or paths. The most common shape in a layout is rectangle. To draw rectangles A. From the layer window select a layer by clicking left on it. You will see the selected layer surrounded by a border. 35 P age

36 B. From Virtuoso Editing window pull down menu, click left to select Create Rectangle or type bind key r. C. In the layout design window click left on the first corner of the rectangle, then click left on the second corner. A rectangle is formed. D. If this is not the rectangle you want, type u or select Edit Undo to undo the action. E. If you want to draw rectangle on another layer, in LSW click left on the layer to change the drawing layer then repeat step C. F. If you have finished drawing rectangles, hit <Esc> on the key board to exit from "Create Rectangle" command. You can use Create Polygon to create other shapes. The procedures are the same as creating rectangle. Similar steps can be used for Create Path, type the P bind key to try it out. Connect all the points in the layout according to the schematic. Remember to connect the bulks of the NMOS and PMOS to GND and VDD respectively. 5.7 Creating Pins The final part of the layout is to create the corresponding pins. A. Select Metal1 pin in the Layers sub-window on the left side of the layout view, first. B. To create the pins, go to Create Pin bring up the form as shown in Figure 37. C. Type the pin name in the Terminal Names field and choose input in the I/O Type option which must be exactly the same as that in the schematic. D. Place the pin on the corresponding part of the layout. Check the schematic to ensure you put at the right place. E. Repeat the above steps, steps A-D, to finish creating all the pins drawn on the schematic, referring to Table 2 for the I/O Type option. 36 P age

37 Figure 37. Create Label Form Your completed layout may look like the one shown in Figure 38. Figure 38. Inverter Layout after Routing 37 P age

38 6 DESIGN VERIFICATION: DRC AND LVS You have completed your layout of the inverter. The created mask layout must conform to a complex set of design rules, in order to ensure a low probability of fabrication defects. A tool built into the Layout Editor, called Design Rule Check, is used to detect any design rule violations during and after the mask layout design. The detected errors are displayed on the layout editor window as error markers, and the corresponding rule is also displayed in a separate window. The designer must perform DRC (in a large design, DRC is usually performed frequently - before the entire design is completed), and make sure that all layout errors are eventually removed from the mask layout, before the final design is suitable for fabrication. After completing DRC, the layout should be checked against the schematic circuit description created earlier. This Layout-versus-Schematic (LVS) Check will compare the original netlist with the one from the layout and prove that the two networks are indeed equivalent. The LVS step provides an additional level of confidence for the integrity of the design, and ensures that the mask layout is a correct realization of the intended circuit topology. Note that the LVS check only guarantees topological match: A successful LVS will not guarantee that the extracted circuit will actually satisfy the performance requirements. Any errors that may show up during LVS (such as unintended connections between transistors, or missing connections/devices, etc.) should be corrected in the mask layout. Finally, after passing these two checks, we run the PEX or Parasitic Extraction. By extracting all the parasitic capacitors and resistors, we obtain a netlist corresponding to the layout. To test the performance of the circuit now, we run a post-layout simulation with the extracted netlist. Note that the PEX step must be repeated every time you modify the mask layout. 6.1 Performing DRC A. From the main menu, select Assura Run DRC. This brings out a DRC form as shown in Figure P age

39 Figure 39. DRC Form B. Change the Technology to gpdk045_av. C. Click OK and the following window will pop up if there are no DRC errors after finishing. Figure 40. DRC Windows 39 P age

40 D. In case there are DRC errors, Error Layer Window as shown in Figure 40 will pop up. By clicking on the error, you get more details on the description and the location of the error in your layout. E. By clicking on the highlighted arrows in Figure 40, the layout window will automatically zoom to the error location, allowing you to edit the layout accordingly. Density errors can be ignored in the initial stage of design. 6.2 Performing LVS A. From the main menu, select Assura Run LVS. This brings out a LVS form as shown in Figure 41. Figure 41. LVS form 40 P age

41 B. Change the Technology according to Figure 41, then click OK. C. If the layout indeed corresponds to the schematic, you get a similar window as shown in Figure 42 to indicate that you have passed the LVS. Figure 42. Pass LVS D. If not, edit the layout, run DRC and LVS again. Whenever layout is changed, DRC must be done before running LVS. 6.3 Performing PEX A. From the main menu of layout window, select QRC Run Assura - Quantus QRC. This brings out QRC (Assura) Parasitic Extraction Run Form. B. Choose gpdk045_av under Technology, rcx_typical under RuleSet, Extracted View for Output, and av_extracted for View, referring to Figure P age

42 Figure 43. QRC (Assura) Parasitic Extraction Run Form C. Click on Extraction tab on the Parasitic Extraction Run Form. Set it exactly as the one shown in Figure P age

43 Figure 44. Extraction option D. Click on Netlisting tab on the Parasitic Extraction Run Form. Ensure the first three lines are set to Do Not Include, referring to Figure P age

44 Figure 45. Netlisting Options E. Click OK on the QRC (Assura) Parasitic Extraction Run Form after finishing the settings following Figures 43, 44 and 45. Parasitic extraction is started. F. Go to the library manager and you will observe that there is now an additional cellview in your inv cell named av_extracted. 44 P age

45 7 POST LAYOUT SIMULATION The electrical performance of a full-custom design can be best analyzed by performing a postlayout simulation on the extracted circuit netlist. At this point, the designer should have a complete mask layout of the intended circuit/system, and should have passed the DRC and LVS steps with no violations. The detailed (transistor-level) simulation performed using the extracted net-list will provide a clear assessment of the circuit speed, the influence of circuit parasitics (such as parasitic capacitances and resistances), and any glitches that may occur due to signal delay mismatches. If the results of post-layout simulation are not satisfactory, the designer should modify some of the transistor dimensions and/or the circuit topology, in order to achieve the desired circuit performance under "realistic" conditions, i.e., taking into account all of the circuit parasitics. This may require multiple iterations on the design, until the post-layout simulation results satisfy the original design requirements. Finally, note that a satisfactory result in post-layout simulation is still no guarantee for a completely successful product; the actual performance of the chip can only be verified by testing the fabricated prototype. Even though the parasitic extraction step is used to identify the realistic circuit conditions to a large degree from the actual mask layout, most of the extraction routines and the simulation models used in modern design tools have inevitable numerical limitations. This should always be one of the main design considerations, from the very beginning. 7.1 Simulation the Extracted Cell View After a successful LVS, you will have two main cell views for the same circuit. The first one is the schematic, which is your initial (ideal) design, the second is the extracted view, that is based on the layout and in addition to the basic circuit includes all the layout associated parasitic effects. In this chapter, you are going to re-run the simulation for "inv", but we will make the simulator use the extracted view instead of the schematic cell view. Open your test bench schematic that you used to simulate the inverter design earlier. A. Start the Analog Design Environment. Load the state that you saved in the earlier simulation. B. Go to Setup Environment. Insert av_extracted in Switch View List as the first entry. 45 P age

46 Figure 46. Environment Options Form C. The Switch View List is an ordered list of cell views that contain information that can be simulated. The simulator (or in fact the netlister) will search until it finds one of these cellviews. The default entry does not contain an extracted cellview. You need to add an entry for extracted cellview av_extracted (name of the cell view) in front of the spectre cellview. D. As a result of this modification, the simulator will use the av_extracted view of the cell, if one is available. The final form is like Figure 46. From this point, the simulation will continue just as it has been described in Sections 4.8 and 4.9 of this manual, except for the fact that the results will now include parasitic effects from the actual layout. Following the flow of Figure 1, your design would be completed if it satisfies the design specifications. 8 CONCLUSION Analog IC design method with Cadence IC 6 Virtuoso is presented in this manual. The flow as shown in Figure 1 is iterative and stops only when the design is satisfactory. The details of each stage is described. I wish that the manual is helpful for users who want to start learning analog IC design. You are welcome to feedback to at any time, to improve the manual so that more users would be benefited. 46 P age

EE 330 Spring 2018 Laboratory 2: Basic Boolean Circuits

EE 330 Spring 2018 Laboratory 2: Basic Boolean Circuits EE 330 Spring 2018 Laboratory 2: Basic Boolean Circuits Contents Objective:... 2 Part 1: Introduction... 2 Part 2 Simulation of a CMOS Inverter... 3 Part 2.1 Attaching technology information... 3 Part

More information

Amplifier Simulation Tutorial. Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5)

Amplifier Simulation Tutorial. Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5) Amplifier Simulation Tutorial Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5) Yongsuk Choi, Marvin Onabajo This tutorial provides a quick introduction to the use of Cadence tools

More information

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group.

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group. Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group. Revision Notes: Aug. 2003 update and edit A. Mason add intro/revision/contents

More information

Design rule illustrations for the AMI C5N process can be found at:

Design rule illustrations for the AMI C5N process can be found at: Cadence Tutorial B: Layout, DRC, Extraction, and LVS Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Revised by C Young & Waqar A Qureshi -FS08 Document Contents Introduction

More information

VLSI Lab Tutorial 3. Virtuoso Layout Editing Introduction

VLSI Lab Tutorial 3. Virtuoso Layout Editing Introduction VLSI Lab Tutorial 3 Virtuoso Layout Editing Introduction 1.0 Introduction The purpose of this lab tutorial is to guide you through the design process in creating a custom IC layout for your CMOS inverter

More information

EE115C Digital Electronic Circuits. Tutorial 4: Schematic-driven Layout (Virtuoso XL)

EE115C Digital Electronic Circuits. Tutorial 4: Schematic-driven Layout (Virtuoso XL) EE115C Digital Electronic Circuits Tutorial 4: Schematic-driven Layout (Virtuoso XL) This tutorial will demonstrate schematic-driven layout on the example of a 2-input NAND gate. Simple Layout (that won

More information

Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial

Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial Introduction This tutorial is an introduction to schematic capture and circuit simulation for ENGN1600 using Cadence Virtuoso. These courses

More information

Virtuoso Layout Editor

Virtuoso Layout Editor This tutorial will cover the basic steps involved in using the Cadence layout editor called Virtuoso, extracting layout, and running simulation on the layout. The inverter layout is used as an example

More information

Revision Notes: July2004 Generate tutorial for single transistor analysis. Based on existing schematic entry tutorial developed for ECE410

Revision Notes: July2004 Generate tutorial for single transistor analysis. Based on existing schematic entry tutorial developed for ECE410 Cadence Analog Tutorial 1: Schematic Entry and Transistor Characterization Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Revision Notes: July2004 Generate tutorial for

More information

VLSI Lab Tutorial 1. Cadence Virtuoso Schematic Composer Introduction

VLSI Lab Tutorial 1. Cadence Virtuoso Schematic Composer Introduction VLSI Lab Tutorial 1 Cadence Virtuoso Schematic Composer Introduction 1.0 Introduction The purpose of the first lab tutorial is to help you become familiar with the schematic editor, Virtuoso Schematic

More information

Professor Muller Fall 2016 Sameet Ramakrishnan Eric Chang Adapted from prior EE140 and EE141 labs. EE 140/240A Lab 0 Full IC Design Flow

Professor Muller Fall 2016 Sameet Ramakrishnan Eric Chang Adapted from prior EE140 and EE141 labs. EE 140/240A Lab 0 Full IC Design Flow Professor Muller Fall 2016 Sameet Ramakrishnan Eric Chang Adapted from prior EE140 and EE141 labs EE 140/240A Lab 0 Full IC Design Flow In this lab, you will walk through the full process an analog designer

More information

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2)

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2) CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2) Joel Wilder, Aleksandar Milenkovic, ECE Dept., The University of Alabama in Huntsville

More information

Cadence Tutorial: Schematic Entry and Circuit Simulation of a CMOS Inverter

Cadence Tutorial: Schematic Entry and Circuit Simulation of a CMOS Inverter Cadence Tutorial: Schematic Entry and Circuit Simulation of a CMOS Inverter Introduction This tutorial describes the steps involved in the design and simulation of a CMOS inverter using the Cadence Virtuoso

More information

Microelectronica. Full-Custom Design with Cadence Tutorial

Microelectronica. Full-Custom Design with Cadence Tutorial Área Científica de Electrónica Microelectronica Full-Custom Design with Cadence Tutorial AustriaMicroSystems C35B3 (HIT-Kit 3.70) Marcelino Santos Table of contends 1. Starting Cadence... 3 Starting Cadence

More information

EECE 285 VLSI Design. Cadence Tutorial EECE 285 VLSI. By: Kevin Dick Co-author: Jeff Kauppila Co-author: Dr. Arthur Witulski

EECE 285 VLSI Design. Cadence Tutorial EECE 285 VLSI. By: Kevin Dick Co-author: Jeff Kauppila Co-author: Dr. Arthur Witulski Cadence Tutorial EECE 285 VLSI By: Kevin Dick Co-author: Jeff Kauppila Co-author: Dr. Arthur Witulski 1 Table of Contents Purpose of Cadence 1) The Purpose of Cadence pg. 4 Linux 1) The Purpose of Linux

More information

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION After finishing a schematic of your design (Tutorial-I), the next step is creating masks which are for

More information

ECE471/571 Energy Efficient VLSI Design Project 2 Cadence Setup and Creation of an Inverter Due Date 11:30 am on Friday, February 2 nd, 2018

ECE471/571 Energy Efficient VLSI Design Project 2 Cadence Setup and Creation of an Inverter Due Date 11:30 am on Friday, February 2 nd, 2018 ECE471/571 Energy Efficient VLSI Design Project 2 Cadence Setup and Creation of an Inverter Due Date 11:30 am on Friday, February 2 nd, 2018 Introduction This project will first walk you through the setup

More information

CS755 CAD TOOL TUTORIAL

CS755 CAD TOOL TUTORIAL CS755 CAD TOOL TUTORIAL CREATING SCHEMATIC IN CADENCE Shi-Ting Zhou shi-ting@cs.wisc.edu After you have figured out what you want to design, and drafted some pictures and diagrams, it s time to input schematics

More information

Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics

Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics Introduction This tutorial describes how to generate a mask layout in the Cadence Virtuoso Layout Editor. Use of DIVA

More information

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals ESE570, Spring 2019 HW5: Delay and Layout Sunday, February 17th Due: Friday,

More information

Logging in, starting a shell tool, and starting the Cadence Tool Suite

Logging in, starting a shell tool, and starting the Cadence Tool Suite EEE 4134 VLSI I Laboratory Lab 0 (Introductory Lab) Logging into Cadence Server, Tool Setup, Cell Library Creation, Introduction to Custom IC Design flow Objectives: To login, start a shell tool and start

More information

EE 140/240A - Full IC Design Flow Tutorial

EE 140/240A - Full IC Design Flow Tutorial Original document by Filip Maksimovic & Mike Lorek, Spring 2015, derived from earlier EE141 lab manuals Revisions for IC6 by David Burnett & Thaibao Phan, Spring 2016 Revisions made by Nandish Mehta to

More information

Cadence Tutorial. Introduction to Cadence 0.18um, Implementation and Simulation of an inverter. A. Moradi, A. Miled et M. Sawan

Cadence Tutorial. Introduction to Cadence 0.18um, Implementation and Simulation of an inverter. A. Moradi, A. Miled et M. Sawan Cadence Tutorial Introduction to Cadence 0.18um, Implementation and Simulation of an inverter A. Moradi, A. Miled et M. Sawan Section 1: Introduction to Cadence You will see how to create a new library

More information

UNIVERSITY OF WATERLOO

UNIVERSITY OF WATERLOO UNIVERSITY OF WATERLOO UW ASIC DESIGN TEAM: Cadence Tutorial Description: Part I: Layout & DRC of a CMOS inverter. Part II: Extraction & LVS of a CMOS inverter. Part III: Post-Layout Simulation. The Cadence

More information

More information can be found in the Cadence manuals Virtuoso Layout Editor User Guide and Cadence Hierarchy Editor User Guide.

More information can be found in the Cadence manuals Virtuoso Layout Editor User Guide and Cadence Hierarchy Editor User Guide. Chapter 6 Building with Layout This chapter consists of two parts. The first describes the generation of layout views and the second deals with the various tools used for verifying the layout, both physical

More information

ANALOG MICROELECTRONICS ( A)

ANALOG MICROELECTRONICS ( A) ANALOG MICROELECTRONICS (304-534A) IBM 130 nm CMOS Technology An Introduction to Cadence Virtuoso Layout Tool and the Analog Simulation Environment Prepared By - Azhar A. Chowdhury Updated by Ming Yang

More information

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group.

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Revision Notes: Jan. 2006 Updated for use with spectre simulator

More information

EE 330 Laboratory 3 Layout, DRC, and LVS Fall 2015

EE 330 Laboratory 3 Layout, DRC, and LVS Fall 2015 EE 330 Laboratory 3 Layout, DRC, and LVS Fall 2015 Contents Objective:... 2 Part 1 Creating a layout... 2 1.1 Run DRC Early and Often... 2 1.2 Create N active and connect the transistors... 3 1.3 Vias...

More information

AMS 0.18 µm PDK Setup and Cadence Tutorial Contributors

AMS 0.18 µm PDK Setup and Cadence Tutorial Contributors AMS 0.18 µm PDK Setup and Cadence Tutorial Contributors Muhammad Ahmed, Sita Asar, and Ayman Fayed, Power Management Research Lab, https://pmrl.osu.edu, Department of Electrical and Computer Engineering,

More information

LTSPICE MANUAL. For Teaching Module EE4415 ZHENG HAUN QUN. December 2016

LTSPICE MANUAL. For Teaching Module EE4415 ZHENG HAUN QUN. December 2016 LTSPICE MANUAL For Teaching Module EE4415 ZHENG HAUN QUN December 2016 DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINNERING NATIONAL UNIVERSITY OF SINGAPORE Contents 1. Introduction... 2 1.1 Installation...

More information

EE115C Digital Electronic Circuits. Tutorial 2: Hierarchical Schematic and Simulation

EE115C Digital Electronic Circuits. Tutorial 2: Hierarchical Schematic and Simulation EE115C Digital Electronic Circuits Tutorial 2: Hierarchical Schematic and Simulation The objectives are to become familiar with Virtuoso schematic editor, learn how to create the symbol view of basic primitives,

More information

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) Objective Part A: To become acquainted with Spectre (or HSpice) by simulating an inverter,

More information

Select the technology library: NCSU_TechLib_ami06, then press OK.

Select the technology library: NCSU_TechLib_ami06, then press OK. ECE 126 Inverter Tutorial: Schematic & Symbol Creation Created for GWU by Anis Nurashikin Nordin & Thomas Farmer Tutorial adapted from: http://www.ee.ttu.edu/ee/cadence/commondirectory/final%20tutorials/digitalcircuitsimulationusingvirtuoso.doc

More information

EE 330 Laboratory 3 Layout, DRC, and LVS

EE 330 Laboratory 3 Layout, DRC, and LVS EE 330 Laboratory 3 Layout, DRC, and LVS Spring 2018 Contents Objective:... 2 Part 1 creating a layout... 2 1.1 Run DRC... 2 1.2 Stick Diagram to Physical Layer... 3 1.3 Bulk Connections... 3 1.4 Pins...

More information

Lab 2. Standard Cell layout.

Lab 2. Standard Cell layout. Lab 2. Standard Cell layout. The purpose of this lab is to demonstrate CMOS-standard cell design. Use the lab instructions and the cadence manual (http://www.es.lth.se/ugradcourses/cadsys/cadence.html)

More information

FACULTY OF ENGINEERING MULTIMEDIA UNIVERSITY LAB SHEET DIGITAL INTEGRATED CIRCUIT

FACULTY OF ENGINEERING MULTIMEDIA UNIVERSITY LAB SHEET DIGITAL INTEGRATED CIRCUIT FACULTY OF ENGINEERING MULTIMEDIA UNIVERSITY LAB SHEET DIGITAL INTEGRATED CIRCUIT DIC1: Schematic Design Entry, Simulation & Verification DIC2: Schematic Driven Layout Drawing (SDL) Design Rule Check (DRC)

More information

EE 330 Spring Laboratory 2: Basic Boolean Circuits

EE 330 Spring Laboratory 2: Basic Boolean Circuits EE 330 Spring 2013 Laboratory 2: Basic Boolean Circuits Objective: The objective of this experiment is to investigate methods for evaluating the performance of Boolean circuits. Emphasis will be placed

More information

Cadence Schematic Tutorial. EEE5320/EEE4306 Fall 2015 University of Florida ECE

Cadence Schematic Tutorial. EEE5320/EEE4306 Fall 2015 University of Florida ECE Cadence Schematic Tutorial EEE5320/EEE4306 Fall 2015 University of Florida ECE 1 Remote access You may access the Linux server directly from the NEB Computer Lab using your GatorLink username and password.

More information

Process technology and introduction to physical

Process technology and introduction to physical Neuromorphic Engineering II Lab 3, Spring 2014 1 Lab 3 March 10, 2014 Process technology and introduction to physical layout Today you will start to learn to use the Virtuoso layout editor XL which is

More information

The original document link is

The original document link is Tutorial:Analog Artist with HSPICE The original document link is http://www.eda.ncsu.edu/wiki/tutorial:analog_artist_with_hspice This tutorial will introduce you to the Cadence Environment: specifically

More information

CS/EE 5720/6720 Analog IC Design Tutorial for Schematic Design and Analysis using Spectre

CS/EE 5720/6720 Analog IC Design Tutorial for Schematic Design and Analysis using Spectre CS/EE 5720/6720 Analog IC Design Tutorial for Schematic Design and Analysis using Spectre Introduction to Cadence EDA: The Cadence toolset is a complete microchip EDA (Electronic Design Automation) system,

More information

Lab 1: An Introduction to Cadence

Lab 1: An Introduction to Cadence GIF-4201/GEL-7016 (Micro-électronique) Lab 1: An Introduction to Cadence Schematic, simulation and layout Gabriel Gagnon-Turcotte, Mehdi Noormohammadi Khiarak and Benoit Gosselin Department of Electrical

More information

CADENCE SETUP. ECE4430-Analog IC Design

CADENCE SETUP. ECE4430-Analog IC Design CADENCE SETUP This short tutorial shows how to configure Cadence to use the NCSU Cadence Design Kit (CDK) with access to the ON Semiconductor C5 0.5-µm and the TSMC 0.35-µm CMOS processes libraries. In

More information

Virtuoso Schematic Composer

Virtuoso Schematic Composer is a schematic design tool from Cadence. In this tutorial you will learn how to put electrical components, make wire connections, insert pins and check for connection error. Start Cadence Custom IC Design

More information

EE434 ASIC & Digital Systems. From Layout to SPICE Simulation (Virtuoso, Calibre, HSpice) Spring 2017 Dae Hyun Kim

EE434 ASIC & Digital Systems. From Layout to SPICE Simulation (Virtuoso, Calibre, HSpice) Spring 2017 Dae Hyun Kim EE434 ASIC & Digital Systems From Layout to SPICE Simulation (Virtuoso, Calibre, HSpice) Spring 2017 Dae Hyun Kim daehyun@eecs.wsu.edu 1 Preparation for Lab2 Download the following file into your working

More information

Using Cadence Virtuoso, a UNIX based OrCAD PSpice like program, Remotely on a Windows Machine

Using Cadence Virtuoso, a UNIX based OrCAD PSpice like program, Remotely on a Windows Machine Using Cadence Virtuoso, a UNIX based OrCAD PSpice like program, Remotely on a Windows Machine A. Launch PuTTY. 1. Load the Saved Session that has Enable X11 forwarding and the Host Name is cvl.ece.vt.edu.

More information

Cadence Virtuoso Simulation of a pixel

Cadence Virtuoso Simulation of a pixel MEMS AND MICROSENSORS 2018/2019 Cadence Virtuoso Simulation of a pixel 11/12/2018 Giorgio Mussi giorgio.mussi@polimi.it Introduction In this lab, we will use Cadence Virtuoso to simulate a sub-array of

More information

Lab 1: Cadence Custom IC design tools- Setup, Schematic capture and simulation

Lab 1: Cadence Custom IC design tools- Setup, Schematic capture and simulation Lab 1: Cadence Custom IC design tools- Setup, Schematic capture and simulation Brittany Duffy EE 330- Integrated Electronics Lab Section B Professor Randy Geiger 1/24/13 Introduction The main goal of this

More information

S Exercise 1C Testing the Ring Oscillator

S Exercise 1C Testing the Ring Oscillator S-87.3148 Exercise 1C Testing the Ring Oscillator Aalto University School of Electrical Engineering Department of Micro- and Nanosciences (ECDL) 10.9.2014 1 1 Building the test bench In this exercise,

More information

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #1, Full Custom VLSI (inverter layout)

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #1, Full Custom VLSI (inverter layout) CPE/EE 427, CPE 527, VLSI Design I: Tutorial #1, Full Custom VLSI (inverter layout) Joel Wilder, Aleksandar Milenkovic, ECE Dept., The University of Alabama in Huntsville Adapted from Virginia Tech, Dept.

More information

Cadence Tutorial C: Simulating DC and Timing Characteristics 1

Cadence Tutorial C: Simulating DC and Timing Characteristics 1 Cadence Tutorial C: Simulating DC and Timing Characteristics Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group Last updated by Patrick O Hara SS15 Document Contents Introduction

More information

Creating the inv1 cell WITHOUT power pins

Creating the inv1 cell WITHOUT power pins Simulating with extracted parasitic Let s assume I designed the cell inv1, for which I created the views schematic, symbol and layout. Creating the inv1 cell WITHOUT power pins First, create the inverter

More information

EEC 116 Fall 2011 Lab #1 Cadence Schematic Capture and Layout Tutorial

EEC 116 Fall 2011 Lab #1 Cadence Schematic Capture and Layout Tutorial EEC 116 Fall 2011 Lab #1 Cadence Schematic Capture and Layout Tutorial Dept. of Electrical and Computer Engineering University of California, Davis September 26, 2011 Reading: Rabaey Chapters 1, 2, A,

More information

DOWNLOAD PDF CADENCE WAVEFORM CALCULATOR USER GUIDE

DOWNLOAD PDF CADENCE WAVEFORM CALCULATOR USER GUIDE Chapter 1 : CSE / Cadence Tutorial The Cadence Design Communities support Cadence users and technologists interacting to exchange ideas, news, technical information, and best practices to solve problems

More information

EE 330 Laboratory Experiment Number 11

EE 330 Laboratory Experiment Number 11 EE 330 Laboratory Experiment Number 11 Design and Simulation of Digital Circuits using Hardware Description Languages Fall 2017 Contents Purpose:... 3 Background... 3 Part 1: Inverter... 4 1.1 Simulating

More information

Fall 2008: EE5323 VLSI Design I using Cadence

Fall 2008: EE5323 VLSI Design I using Cadence 1 of 23 9/17/2008 6:47 PM Fall 2008: EE5323 VLSI Design I using Cadence This tutorial has been adapted from EE5323 offered in Fall 2007. Thanks to Jie Gu, Prof. Chris Kim and Satish Sivaswamy of the University

More information

Guide to the CSE 577 Lab and Cad tools

Guide to the CSE 577 Lab and Cad tools Guide to the CSE 577 Lab and Cad tools 1. Introduction The objective of this tutorial is to give you an overview to (1) setup the Cadence and Synopsys hspice tools for your account in IST 218 Lab, (2)

More information

Intro to Cadence. Brady Salz. ECE483 Spring 17

Intro to Cadence. Brady Salz. ECE483 Spring 17 Intro to Cadence Brady Salz ECE483 Spring 17 What We re Doing Learn you a Cadence Learn simulation vocabulary Basic schematic guidelines Simulation results Init Before we begin, open a terminal: $ module

More information

ELEC451 Integrated Circuit Engineering Using Cadence's Virtuoso Layout Editing Tool

ELEC451 Integrated Circuit Engineering Using Cadence's Virtuoso Layout Editing Tool ELEC451 Integrated Circuit Engineering Using Cadence's Virtuoso Layout Editing Tool Contents Contents 1. General 2. Creating and Working On a Layout o 2.1 Undoing/Re-doing an Action o 2.2 Display Options

More information

Analog IC Schematic Capture. Mentor Graphics 2006

Analog IC Schematic Capture. Mentor Graphics 2006 Analog IC Schematic Capture Mentor Graphics 2006 Santa Clara University Department of Electrical Engineering Date of Last Revision: February 6, 2007 Table of Contents 1. Objective...3 2. Setup & Preparation...4

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation NTU IC541CA 1 Assumed Knowledge This lab assumes use of the Electric

More information

CMOS Design Lab Manual

CMOS Design Lab Manual CMOS Design Lab Manual Developed By University Program Team CoreEl Technologies (I) Pvt. Ltd. 1 Objective Objective of this lab is to learn the Mentor Graphics HEP2 tools as well learn the flow of the

More information

ECE 331: Electronics Principles I Fall 2014

ECE 331: Electronics Principles I Fall 2014 ECE 331: Electronics Principles I Fall 2014 Lab #0: Introduction to Computer Modeling and Laboratory Measurements Report due at your registered lab period on the week of Sept. 8-12 Week 1 Accessing Linux

More information

Cadence Analog Circuit Tutorial

Cadence Analog Circuit Tutorial Cadence Analog Circuit Tutorial Schematic Entry for Analog Designs- Passive Circuits (RLC Circuit) In this tutorial, we will build the circuit shown in figure 1 below, using the Cadence Composer tool.

More information

Tutorial 3: Using the Waveform Viewer Introduces the basics of using the waveform viewer. Read Tutorial SIMPLIS Tutorials SIMPLIS provide a range of t

Tutorial 3: Using the Waveform Viewer Introduces the basics of using the waveform viewer. Read Tutorial SIMPLIS Tutorials SIMPLIS provide a range of t Tutorials Introductory Tutorials These tutorials are designed to give new users a basic understanding of how to use SIMetrix and SIMetrix/SIMPLIS. Tutorial 1: Getting Started Guides you through getting

More information

Verifying the Multiplexer Layout

Verifying the Multiplexer Layout 4 This chapter introduces you to interactive verification. You will perform two different tests in the Virtuoso layout editor while using Assura interactive verification products. One test uses the Design

More information

Mentor Graphics VLSI CAD Tutorials

Mentor Graphics VLSI CAD Tutorials VLSI Design Flow Using Mentor-Graphics Tools Mentor Graphics VLSI CAD Tutorials School of Engineering Santa Clara University Santa Clara, CA 95053 At the Design Center, School of Engineering, of Santa

More information

This is a brief tutorial about building a Symbol for a Schematic in Cadence IC design tool environment for hierarchical design of schematics.

This is a brief tutorial about building a Symbol for a Schematic in Cadence IC design tool environment for hierarchical design of schematics. This is a brief tutorial about building a Symbol for a Schematic in Cadence IC design tool environment for hierarchical design of schematics. 1. > cd work035 2. > cadsetup ams035 3. > virtuoso& IMPORTANT:

More information

Introduction to laboratory exercises in Digital IC Design.

Introduction to laboratory exercises in Digital IC Design. Introduction to laboratory exercises in Digital IC Design. A digital ASIC typically consists of four parts: Controller, datapath, memory, and I/O. The digital ASIC below, which is an FFT/IFFT co-processor,

More information

Experiment 0: Introduction to Cadence

Experiment 0: Introduction to Cadence UNIVERSITY OF CALIFORNIA AT BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences EE105 Lab Experiments Experiment 0: Introduction to Cadence Contents 1. Introduction...

More information

Complete Tutorial (Includes Schematic & Layout)

Complete Tutorial (Includes Schematic & Layout) Complete Tutorial (Includes Schematic & Layout) Download 1. Go to the "Download Free PCB123 Software" button or click here. 2. Enter your e-mail address and for your primary interest in the product. (Your

More information

EE4111 Advanced Analog Electronics Design. Spring 2009 Experiment #4 April 6 ~ April 17

EE4111 Advanced Analog Electronics Design. Spring 2009 Experiment #4 April 6 ~ April 17 EE4111 Advanced Analog Electronics Design Spring 2009 Experiment #4 April 6 ~ April 17 Setup Cadence in VLSI Lab 1) Copy files $ cp r /home/grads/ee4111ta ~/ 2) Edit your.cshrc file -- Include the following

More information

Mixed Signal Design Simulation Manual

Mixed Signal Design Simulation Manual CADENCE Mixed Signal Design Simulation Manual Version 1.0 By Zheng Huan Qun February 2005 Department of Electrical and Computer Engineering National University of Singapore ACKNOWLEDGMENTS The author would

More information

ECE425: Introduction to VLSI System Design Machine Problem 3 Due: 11:59pm Friday, Dec. 15 th 2017

ECE425: Introduction to VLSI System Design Machine Problem 3 Due: 11:59pm Friday, Dec. 15 th 2017 ECE425: Introduction to VLSI System Design Machine Problem 3 Due: 11:59pm Friday, Dec. 15 th 2017 In this MP, you will use automated tools to synthesize the controller module from your MP2 project into

More information

ECE471/571 Energy Ecient VLSI Design

ECE471/571 Energy Ecient VLSI Design ECE471/571 Energy Ecient VLSI Design Project 2 Cadence Setup and Creation of an Inverter Due Date 11:30pm on Friday, January 30 th 2015 Introduction This project will rst walk you through the setup for

More information

Lab 4 LVS and Post layout Simulation

Lab 4 LVS and Post layout Simulation Lab 4 LVS and Post layout Simulation Objective: In this lab you will learn 1. How to check if your layout that you drew in lab 3 matches your schematic that you drew in lab 2. 2. How to do the post layout

More information

Cadence Tutorial D: Using Design Variables and Parametric Analysis Document Contents Introduction Using Design Variables Apply Apply

Cadence Tutorial D: Using Design Variables and Parametric Analysis Document Contents Introduction Using Design Variables Apply Apply Cadence Tutorial D: Using Design Variables and Parametric Analysis Created for the MSU VLSI program by Casey Wallace Last Updated by: Patrick O Hara SS15 Document Contents Introduction Using Design Variables

More information

Laboratory 6. - Using Encounter for Automatic Place and Route. By Mulong Li, 2013

Laboratory 6. - Using Encounter for Automatic Place and Route. By Mulong Li, 2013 CME 342 (VLSI Circuit Design) Laboratory 6 - Using Encounter for Automatic Place and Route By Mulong Li, 2013 Reference: Digital VLSI Chip Design with Cadence and Synopsys CAD Tools, Erik Brunvand Background

More information

A Tutorial on Using the Cadence Virtuoso Editor to create a CMOS Inverter with CMOSIS5 Technology

A Tutorial on Using the Cadence Virtuoso Editor to create a CMOS Inverter with CMOSIS5 Technology A Tutorial on Using the Cadence Virtuoso Editor to create a CMOS Inverter with CMOSIS Technology Developed by Ted Obuchowicz VLSI/CAD Specialist, Dept. of Electrical and Computer Engineering Concordia

More information

How to Get Started. Figure 3

How to Get Started. Figure 3 Tutorial PSpice How to Get Started To start a simulation, begin by going to the Start button on the Windows toolbar, then select Engineering Tools, then OrCAD Demo. From now on the document menu selection

More information

Introduction to Design Architect

Introduction to Design Architect SANTA CLARA UNIVERSITY Dept. of Electrical Engineering Mentor Graphics Tutorials Introduction to Design Architect Yiching Chen Sangeetha Raman S. Krishnan I. Introduction II. This document contains a step-by-step

More information

EE5323/5324 VLSI Design I/II using Cadence

EE5323/5324 VLSI Design I/II using Cadence 1 of 18 2009-1-23 23:58 Spring 2009: EE5323/5324 VLSI Design I/II using Cadence This tutorial has been adapted from EE5323 offered in Fall 2007. Thanks to Jie Gu, Prof. Chris Kim and Satish Sivaswamy of

More information

HOMEWORK 9 CMPEN 411 Due: 4/12/ :30pm

HOMEWORK 9 CMPEN 411 Due: 4/12/ :30pm HOMEWORK 9 CMPEN 411 Due: 4/12/2016 11:30pm Learning Objective Complete the full 8 bit RISC microprocessor chip design by placing the processor core design into the 40 pin 'tiny' chip pad frame. Do verify

More information

Tutorial on getting started in Cadence. Advanced Analog Circuits Spring 2015 Instructor: Prof. Harish Krishnaswamy TA: Jahnavi Sharma

Tutorial on getting started in Cadence. Advanced Analog Circuits Spring 2015 Instructor: Prof. Harish Krishnaswamy TA: Jahnavi Sharma Tutorial on getting started in Cadence Advanced Analog Circuits Spring 2015 Instructor: Prof. Harish Krishnaswamy TA: Jahnavi Sharma Getting Started Start Cadence from the terminal by using the command

More information

CMOS Process Flow. Layout CAD Tools

CMOS Process Flow. Layout CAD Tools CMOS Process Flow See supplementary power point file for animated CMOS process flow (see class ece410 website and/or* http://www.multimedia.vt.edu/ee5545/): This file should be viewed as a slide show It

More information

Layout and Layout Verification. of an Inverter Circuit

Layout and Layout Verification. of an Inverter Circuit Layout and Layout Verification of an Inverter Circuit Santa Clara University Department of Electrical Engineering By Piyush Panwar Under Guidance of Dr Samiha Mourad Date of Last Revision: August 7, 2010

More information

Figure 1: ADE Test Editor

Figure 1: ADE Test Editor Due to some issues that ADE GXL simulation environment has (probably because of inappropriate setup), we will run simulations in the ADE L design environment, which includes all the necessary tools that

More information

DC Circuit Simulation

DC Circuit Simulation Chapter 2 DC Circuit Simulation 2.1 Starting the Project Manager 1. Select Project Manager from the Start All Program Cadence Release 16.5 Project Manager. 2. Select Allegro PCB Designer (Schematic) from

More information

EE 210 Lab Assignment #2: Intro to PSPICE

EE 210 Lab Assignment #2: Intro to PSPICE EE 210 Lab Assignment #2: Intro to PSPICE ITEMS REQUIRED None Non-formal Report due at the ASSIGNMENT beginning of the next lab no conclusion required Answers and results from all of the numbered, bolded

More information

EE261 Computer Project 1: Using Mentor Graphics for Digital Simulation

EE261 Computer Project 1: Using Mentor Graphics for Digital Simulation EE261 Computer Project 1: Using Mentor Graphics for Digital Simulation Introduction In this project, you will begin to explore the digital simulation tools of the Mentor Graphics package available on the

More information

EE 330 Fall 2017 Lab 1: Cadence Custom IC design tools - Setup, Schematic capture and simulation

EE 330 Fall 2017 Lab 1: Cadence Custom IC design tools - Setup, Schematic capture and simulation EE 330 Fall 2017 Lab 1: Cadence Custom IC design tools - Setup, Schematic capture and simulation Table of Contents Objective... 2 1. Setup... 2 Set Bash Shell for the account... 2 2. Starting Cadence Custom

More information

EE 330 Spring 2018 Lab 1: Cadence Custom IC design tools Setup, Schematic capture and simulation

EE 330 Spring 2018 Lab 1: Cadence Custom IC design tools Setup, Schematic capture and simulation EE 330 Spring 2018 Lab 1: Cadence Custom IC design tools Setup, Schematic capture and simulation Table of Contents Objective... 2 1. Setup... 2 Set Bash Shell for the account... 2 2. Starting Cadence Custom

More information

MEMS Pro v5.1 Layout Tutorial Physical Design Mask complexity

MEMS Pro v5.1 Layout Tutorial Physical Design Mask complexity MEMS Pro v5.1 Layout Tutorial 1 Physical Design Mask complexity MEMS masks are complex with curvilinear geometries Verification of manufacturing design rules is important Automatic generation of mask layout

More information

EDA-BASED DESIGN PRACTICAL LABORATORY SESSION No. 3

EDA-BASED DESIGN PRACTICAL LABORATORY SESSION No. 3 LABORATOIRE DE SYSTEMES MICROELECTRONIQUES EPFL STI IMM LSM ELD Station nº 11 CH-1015 Lausanne Téléphone : Fax : E-mail : Site web : +4121 693 6955 +4121 693 6959 lsm@epfl.ch lsm.epfl.ch EDA-BASED DESIGN

More information

Boise State University Digital Systems Laboratory

Boise State University Digital Systems Laboratory by S. M. Loo, Arlen Planting Department of Electrical and Computer Engineering Boise State University First Released: Spring 2005 with ISE 6.3i Updated: Fall 2006 with ISE 8.1i Updated: Spring 2009 with

More information

TUTORIAL SESSION Technical Group Hoda Najafi & Sunita Bhide

TUTORIAL SESSION Technical Group Hoda Najafi & Sunita Bhide TUTORIAL SESSION 2014 Technical Group Hoda Najafi & Sunita Bhide SETUP PROCEDURE Start the Altium Designer Software. (Figure 1) Ensure that the Files and Projects tabs are located somewhere on the screen.

More information

CMOS VLSI Design Lab 4: Full Chip Assembly

CMOS VLSI Design Lab 4: Full Chip Assembly CMOS VLSI Design Lab 4: Full Chip Assembly In this final lab, you will assemble and simulate your entire MIPS microprocessor! You will build your top level chip cell by connecting the datapath, aludec,

More information

ELEC 301 Lab 2: Cadence Basic

ELEC 301 Lab 2: Cadence Basic ELEC 301 Lab 2: Cadence Basic Revision: 2.1 Last modified: Aug. 98 Introduction In this class, you will be introduced to the Cadence suit of IC design tools. These tools are a very powerful set of tools.

More information

DRC and LVS checks using Cadence Virtuoso Version 3.0

DRC and LVS checks using Cadence Virtuoso Version 3.0 DRC and LVS checks using Cadence Virtuoso Version 3.0 Start virtuoso l l Open a virtuoso session in the directory which contains the required cds.lib and lib.def files. Command : virtuoso & Open the layout

More information

- create new schematic to the new project, PCB design begins with a schematic diagram, which present how components are connected

- create new schematic to the new project, PCB design begins with a schematic diagram, which present how components are connected Eagle 8.x tutorial - create a new project, Eagle designs are organized as projects - create new schematic to the new project, PCB design begins with a schematic diagram, which present how components are

More information