Metrology for Characterization of Wafer Thickness Uniformity During 3D-IC Processing. SEMATECH Workshop on 3D Interconnect Metrology

Size: px
Start display at page:

Download "Metrology for Characterization of Wafer Thickness Uniformity During 3D-IC Processing. SEMATECH Workshop on 3D Interconnect Metrology"

Transcription

1 Metrology for Characterization of Wafer Thickness Uniformity During 3D-IC Processing SEMATECH Workshop on 3D Interconnect Metrology Chris Lee July 11, 2012

2 Outline Introduction Motivation For New Metrology Tools Experimental Results Summary & Conclusions Acknowledgements 2

3 Motivation: Wafer applications have demanding quality specifications IC Substrates Circuit feature size is proportional to depth of focus Decreasing depth of focus leads to much tighter wafer flatness requirements to avoid circuit failure To deliver flat wafers, you must be able to accurately characterize them Carrier Wafers Carrier Bond Wafer Thinned & Processed Wafer De-bond Wafer 3

4 Motivation: Wafer applications have demanding quality specifications Demand for very low TTV wafers and carriers requires precise full surface measurement of TTV and thickness FlatMaster MSP has demonstrated capabilities: - Nanometer scale reproducibility on TTV and thickness of transparent materials - Simultaneous Bow/Warp and substrate TTV/Thickness measurement on full surface 4

5 FlatMaster MSP Measurement Principle Tunable Laser Source 5

6 Transmission Intensity Transmission Intensity FlatMaster MSP Measurement Principle Tunable Laser Source Both diode cavity and external cavity have longitudinal laser modes c 2L External Cavity Diode Cavity Desirable to tune the length of the external cavity to mode match the diode cavity Mode-matching optimizes frequency stability, guarantees equal frequency steps, and optimizes fringe contrast Frequency Frequency 6

7 tio Mode # FlatMaster MSP Measurement Principle Frequency Scanning Interferometry Laser has a step-like tuning behavior - Eliminates frequency errors caused by positioning errors - Allows fast yet precise tuning 8070 LightGage TLD Tuning Characteristics P (mm) 0.5 7

8 FlatMaster MSP Measurement Principle Different surface pairs modulate at different frequencies as we step through the different wavelengths of the laser Use frequency domain information to isolate these surface pairs and construct the thickness map and the Bow and Warp data on the entire wafer surface Front surface to Fizeau Thickness fringes Back surface to Fizeau 8

9 Motivation: Historical silicon methods do not easily transfer to large, thin wafers Metrology strategies have evolved from methods used to characterize smaller, lower aspect ratio geometries Large, thin wafers have inherently low relative stiffness, leading to large gravity induced deflections Conventionally, 3-point mounts have been used to measure flatness/warp of wafer along with the gravity compensation Calibration techniques Multiple measurements (Side A/B) As gravity effect becomes larger, accurate compensation becomes more complex Corning s Flatmaster MSP 300 provides new methods to overcome the shortfalls of traditional methods 9

10 Wafers are mounted in several ways 3-point mounts are the only kinematic configuration, however they will also have very large deflections and can be sensitive to part positioning 4-point and ring supports have redundant support and can not be deterministically compensated for Once you depart from kinematic, more support points will result in less gravity induced shape. Wire support minimizes deflection due to gravity 3-Ball Support at 0.7r 3-Ball Support at 1R 4-Ball Support at 1R Ring Support Perimeter 10

11 Motivation: Current approaches have limited data coverage Most traditional methods generate flatness map from multiple profiles generated by scanning a single point probe Scan NW Scan Y Scan X Scan SW This map is generated by 4 scans lots of interpolation 11

12 Motivation: Limitations of current metrology techniques highlighted in SEMI standards Differences in diameter, thickness, fiducials, or crystal orientation from wafer used for gravitation compensation procedure, may yield incorrect results. Different methods of implementing gravitational compensation give different results. Different geometric configurations of wafer support (e.g. 3-point, 4-point, ring support, etc.) will yield different results. The quantity of data points and their spacing may affect the measurement results. Results obtained with different data point spacing using the same tests may be different. TTV and warp are determined using partial scan patterns; thus, the entire surface is not sampled and use of another scan pattern may not yield the same results. Certain test methods do not completely separate TTV from warp. Running probes off the test specimen during the scan sequence gives false readings. SEMI MF SEMI MF E 12

13 Case Study: 3-Point Mount Wafer material: Si Density: 2.33 g/cm 3 Elastic modulus: 141 GPa Poisson s ratio: 0.22 Wafer thickness: 0.7mm +/- 0.01mm Glass Material: Corning SGW3 Density: 2.38 g/cm 3 Elastic modulus: 74 GPa Poisson s ratio: 0.23 Wafer thickness: 0.7mm +/- 0.01mm Material Diameter (mm) Thickness (mm) Support Radius (mm) Sag (um) Si Si Si Si Si SGW

14 New Approach To Measure High Aspect Ratio Wafers Frequency stepping interferometer avoids limitations of standard phase measuring interferometers - Avoids 2π ambiguity from phase measuring interferometry Wire support approach minimizes gravity effects Simultaneously measure flatness and TTV of glass wafer (<1minute/wafer) Full aperture interferometric approach gives sub-millimeter lateral resolution (~3,000,000 data points /300 mm wafer) Wire Support 14

15 FlatMaster MSP specifications 300 mm system Field of View (Circular) Z-Resolution Lateral Resolution Measurement Time Measurement Method Data Points Repeatability > 300 mm 10 nm (0.40 μinch) 0.15 mm (0.006 inch) 30 seconds typical Frequency Scanning Interferometry 2kx2k up to 4,000,000/measurement 25 nm 15

16 Peak-to-Valley: Deflection (um) from FEA Deflection due to gravity (prior to compensation) Material (thickness) 3-Pt. perimeter (0.7 mm) 3-Pt. 0.7 radius (0.7 mm) Wire Support (0.7 mm) Si SGW Techniques that use calculation/calibration to remove gravity effects assume many consistencies which may affect data (material, thickness etc.) Wire support minimizes gravity effects, which eliminates this complication, while eliminating dead zones where the surface is not visible due to part support structures 16

17 Flatmaster MSP output Data maps showing (a) TTV (~1.4 um) and (b) flatness (~17 um) of a glass wafer with sub-millimeter lateral resolution. Each data point shown is representative of an actual data point collected There is no compensation from gravity effects flatness is measured as it sits on the wire mount. (a) TTV 1.4 um (b) Flatness 17 um 17

18 Flatness (um) Consistency of 3-point & wire support Evaluate repeatability from mount techniques on MSP Warp - 3-Pt Bow - 3-Pt Warp - Wire Bow - Wire Iteration 200 mm diameter glass wafers Measure same part 10x with 2 mount techniques (3-point at 0.7R, wire support) 3-point support creates larger warp and standard deviations compared to the wire support 10 um variation with 3-point for the same part Compensations strategies do not account for this error 18

19 Thickness (um) TTV (um) Flatmaster MSP Thickness, TTV: Highly Repeatable Glass wafer measured 10X Thickness - 3-Pt Thickness - Wire TTV - 3 Pt TTV - Wire Iteration Wire support method gives thickness repeatability better than 0.03 µm & TTV repeatability < µm. Large deflection from 3-point mount affects repeatability of thickness and TTV measurements, but it is still quite good at 0.1µm and 0.01µm respectively

20 Glass TTV & wafer stack TTV Data taken using 3M WSS process Corning wafers (SGW3) of specified TTV (low/high) used with 3M WSS to study effect of wafer TTV on bonded stack TTV Data is highly correlated - low glass TTV gives low wafer stack TTV as expected Glass wafers from other established Japanese wafer supplier reporting TTV < 1µm (5 points/wafer) Actual thickness variation >1µm which will clearly impact bonded stack TTV, and therefore performance New demanding applications require accuracy and data density provided by MSP 20

21 Summary: Current Challenges Large wafer diameter presents challenges to existing techniques Very low resolution to build 3D maps from 4 scans Many assumptions are required Typically use a calibration step, or side A/B measurement and analysis that require excellent repeatability and geometric consistency Thickness, material, exact mount support (and R&R) significantly impact gravity compensation techniques Large sag due to gravity for conventional mounting techniques lends itself to large errors Limited number of data points can lead to low estimation of total warp/ttv Leads to poor understanding of wafer quality and impact on subsequent processes 21

22 Summary: Flatmaster MSP Utilizes mount that has 2-3 orders of magnitude lower gravity deflection Leverages technology for ultra-precise photo mask characterization Avoids gravity sag vs. accounting for it - eliminates the need for significant assumptions Data shows significantly better measurement repeatability using wire support over 3-point mount Correlation of wafer TTV and stack TTV demonstrates MSP value Actual data points every <1 mm gives high fidelity data with little/no prior assumptions on the part shape Data density invaluable to characterize Si wafers and carrier wafers You must be able to see the error to achieve required performance Substantial opportunity to use data for process enhancements (IC manufacture (silicon wafer flatness), glass wafer flatness/ttv, carrier wafer recycling) 22

23 Acknowledgements The authors acknowledge colleagues: Bor Kai Wang for measurement and setup, Matt Cempa and Keith Hanford for numerical modeling, and substantial support provided by the Corning Advanced Technology Center (CATC) in Taipei, Taiwan. 23

24 Thank You! 24

PRODUCTION OF ULTRA-FLAT SEMICONDUCTOR WAFER SUBSTRATES USING ADVANCED OPTICAL LENS POLISHING TECHNOLOGY

PRODUCTION OF ULTRA-FLAT SEMICONDUCTOR WAFER SUBSTRATES USING ADVANCED OPTICAL LENS POLISHING TECHNOLOGY PRODUCTION OF ULTRA-FLAT SEMICONDUCTOR WAFER SUBSTRATES USING ADVANCED OPTICAL LENS POLISHING TECHNOLOGY / Slide 1 IQBAL (IZZY) BANSAL ASML HOLDING (NV) iqbalbansal@asml.com JANUARY 10, 2009

More information

Metrology Tools for Flexible Electronics and Display Substrates. Min Yang

Metrology Tools for Flexible Electronics and Display Substrates. Min Yang Metrology Tools for Flexible Electronics and Display Substrates Min Yang 1 Acknowledgement The speaker would like to sincerely thank the following collaborators for their contributions: Roger Posusta,

More information

High speed full wafer monitoring of surface, edge and bonding interface for 3D-stacking

High speed full wafer monitoring of surface, edge and bonding interface for 3D-stacking Sematech Workshop on 3D Interconnect Metrology Sematech Workshop on 3D Interconnect Metrology, July 13 2011 High speed full wafer monitoring of surface, edge and bonding interface for 3D-stacking Lars

More information

MEMS SENSOR FOR MEMS METROLOGY

MEMS SENSOR FOR MEMS METROLOGY MEMS SENSOR FOR MEMS METROLOGY IAB Presentation Byungki Kim, H Ali Razavi, F. Levent Degertekin, Thomas R. Kurfess 9/24/24 OUTLINE INTRODUCTION Motivation Contact/Noncontact measurement Optical interferometer

More information

Total Inspection Solutions Ensuring Known-Good 3DIC Package. Nevo Laron, Camtek USA, Santa Clara, CA

Total Inspection Solutions Ensuring Known-Good 3DIC Package. Nevo Laron, Camtek USA, Santa Clara, CA Total Inspection Solutions Ensuring Known-Good 3DIC Package Nevo Laron, Camtek USA, Santa Clara, CA Density Packaging Trends vs. Defect Costs Functionality Package Yield 3DIC yield statistics 101 1.00

More information

ksa MOS Ultra-Scan Performance Test Data

ksa MOS Ultra-Scan Performance Test Data ksa MOS Ultra-Scan Performance Test Data Introduction: ksa MOS Ultra Scan 200mm Patterned Silicon Wafers The ksa MOS Ultra Scan is a flexible, highresolution scanning curvature and tilt-measurement system.

More information

Innovations in touch-trigger probe sensor technology

Innovations in touch-trigger probe sensor technology White paper Innovations in touch-trigger probe sensor technology Abstract Since the invention of the touch-trigger probe in the 1970s, these devices have formed the main means of sensing for dimensional

More information

Surface and thickness profile measurement of a transparent film by three-wavelength vertical scanning interferometry

Surface and thickness profile measurement of a transparent film by three-wavelength vertical scanning interferometry Surface and thickness profile measurement of a transparent film by three-wavelength vertical scanning interferometry Katsuichi Kitagawa Toray Engineering Co. Ltd., 1-1-45 Oe, Otsu 50-141, Japan Corresponding

More information

Krzysztof Dabrowiecki, Probe2000 Inc Southwest Test Conference, San Diego, CA June 08, 2004

Krzysztof Dabrowiecki, Probe2000 Inc Southwest Test Conference, San Diego, CA June 08, 2004 Structural stability of shelf probe cards Krzysztof Dabrowiecki, Probe2000 Inc Southwest Test Conference, San Diego, CA June 08, 2004 Presentation Outline Introduction Objectives Multi die applications

More information

Length, Germany, PTB (Physikalisch-Technische Bundesanstalt)

Length, Germany, PTB (Physikalisch-Technische Bundesanstalt) Laser radiations Laser radiations Laser radiations Laser radiations Length Length Frequency stabilized laser (He- Ne): vacuum wavelength Frequency stabilized laser (He- Ne): absolute frequency Frequency

More information

Flatness Compensation Updates/Challenges

Flatness Compensation Updates/Challenges Flatness Compensation Updates/Challenges October 22, 2009 Jae Sohn SEMATECH Inc. 1 Outline Results Overlay Results from Wafer Exposures on Alpha-Demo-Tool (ADT) Analysis on Residual Field Signature Summary

More information

OPTICAL TECHNOLOGIES FOR TSV INSPECTION Arun A. Aiyer, Frontier Semiconductor 2127 Ringwood Ave, San Jose, California 95131

OPTICAL TECHNOLOGIES FOR TSV INSPECTION Arun A. Aiyer, Frontier Semiconductor 2127 Ringwood Ave, San Jose, California 95131 OPTICAL TECHNOLOGIES FOR TSV INSPECTION Arun A. Aiyer, Frontier Semiconductor 2127 Ringwood Ave, San Jose, California 95131 ABSTRACT: In this paper, Frontier Semiconductor will introduce a new technology

More information

Optical Topography Measurement of Patterned Wafers

Optical Topography Measurement of Patterned Wafers Optical Topography Measurement of Patterned Wafers Xavier Colonna de Lega and Peter de Groot Zygo Corporation, Laurel Brook Road, Middlefield CT 6455, USA xcolonna@zygo.com Abstract. We model the measurement

More information

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA 3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA OUTLINE 3D Application Drivers and Roadmap 3D Stacked-IC Technology 3D System-on-Chip: Fine grain partitioning Conclusion

More information

Coherent Gradient Sensing Microscopy: Microinterferometric Technique. for Quantitative Cell Detection

Coherent Gradient Sensing Microscopy: Microinterferometric Technique. for Quantitative Cell Detection Coherent Gradient Sensing Microscopy: Microinterferometric Technique for Quantitative Cell Detection Proceedings of the SEM Annual Conference June 7-10, 010 Indianapolis, Indiana USA 010 Society for Experimental

More information

4D Technology Corporation

4D Technology Corporation 4D Technology Corporation Dynamic Laser Interferometry for Company Profile Disk Shape Characterization DiskCon Asia-Pacific 2006 Chip Ragan chip.ragan@4dtechnology.com www.4dtechnology.com Interferometry

More information

Discover 3D measurements for flexible electronics: a metrology masterclass

Discover 3D measurements for flexible electronics: a metrology masterclass Discover 3D measurements for flexible electronics: a metrology masterclass Samuel Lesko Bruker Nano Surfaces 21 November 2013 Smithers Pira the worldwide authority on the packaging, paper and print industry

More information

Null test for a highly paraboloidal mirror

Null test for a highly paraboloidal mirror Null test for a highly paraboloidal mirror Taehee Kim, James H. Burge, Yunwoo Lee, and Sungsik Kim A circular null computer-generated hologram CGH was used to test a highly paraboloidal mirror diameter,

More information

EMPIR Grant Agreement 14IND07 3D Stack

EMPIR Grant Agreement 14IND07 3D Stack EMPIR Grant Agreement 14IND07 3D Stack Good Practice Guide: Recommendations on the strategy for measuring the dimensional properties of TSVs based on Confocal microscopy, IR interferometry and optical

More information

Freeform metrology using subaperture stitching interferometry

Freeform metrology using subaperture stitching interferometry Freeform metrology using subaperture stitching interferometry APOMA November 10-11, 2016 Presented By: Christopher Hall QED Optics Sr. Engineer, QED Technologies Copyright QED Technologies 2016 Interferometry

More information

Measurement of Highly Parabolic Mirror using Computer Generated Hologram

Measurement of Highly Parabolic Mirror using Computer Generated Hologram Measurement of Highly Parabolic Mirror using Computer Generated Hologram Taehee Kim a, James H. Burge b, Yunwoo Lee c a Digital Media R&D Center, SAMSUNG Electronics Co., Ltd., Suwon city, Kyungki-do,

More information

Case Study- Importing As-Molded Plastic Part Conditions into CAE tools

Case Study- Importing As-Molded Plastic Part Conditions into CAE tools 1 IEI Innova Engineering 1 Park Plaza Suite 980 Irvine, California 92614 Case Study- Importing As-Molded Plastic Part Conditions into CAE tools 2 CONTENTS CONTENTS... 2 EXECUTIVE SUMMARY... 3 APPROACH...

More information

A RADIAL WHITE LIGHT INTERFEROMETER FOR MEASUREMENT OF CYLINDRICAL GEOMETRIES

A RADIAL WHITE LIGHT INTERFEROMETER FOR MEASUREMENT OF CYLINDRICAL GEOMETRIES A RADIAL WHITE LIGHT INTERFEROMETER FOR MEASUREMENT OF CYLINDRICAL GEOMETRIES Andre R. Sousa 1 ; Armando Albertazzi 2 ; Alex Dal Pont 3 CEFET/SC Federal Center for Technological Education of Sta. Catarina

More information

Calibration of a portable interferometer for fiber optic connector endface measurements

Calibration of a portable interferometer for fiber optic connector endface measurements Calibration of a portable interferometer for fiber optic connector endface measurements E. Lindmark Ph.D Light Source Reference Mirror Beamsplitter Camera Calibrated parameters Interferometer Interferometer

More information

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape Edition April 2017 Semiconductor technology & processing 3D systems-on-chip A clever partitioning of circuits to improve area, cost, power and performance. In recent years, the technology of 3D integration

More information

Embedded UTCP interposers for miniature smart sensors

Embedded UTCP interposers for miniature smart sensors Embedded UTCP interposers for miniature smart sensors T. Sterken 1,2, M. Op de Beeck 2, Tom Torfs 2, F. Vermeiren 1,2, C. Van Hoof 2, J. Vanfleteren 1,2 1 CMST (affiliated with Ugent and IMEC), Technologiepark

More information

OPTI 513R / Optical Testing

OPTI 513R / Optical Testing OPTI 513R / Optical Testing Instructor: Dae Wook Kim Meinel Building Rm 633, University of Arizona, Tucson, AZ 85721 E-Mail: dkim@optics.arizona.edu Website: sites.google.com/site/opti513r/ Office Hours:

More information

New Opportunities for 3D SPI

New Opportunities for 3D SPI New Opportunities for 3D SPI Jean-Marc PEALLAT Vi Technology St Egrève, France jmpeallat@vitechnology.com Abstract For some years many process engineers and quality managers have been questioning the benefits

More information

Correlated Model For Wafer Warpage Prediction of Arbitrarily Patterned Films

Correlated Model For Wafer Warpage Prediction of Arbitrarily Patterned Films 2018 IEEE 68th Electronic Components and Technology Conference Correlated Model For Wafer Warpage Prediction of Arbitrarily Patterned Films Gregory T. Ostrowicki gtostrowicki@ti.com Siva P. Gurum sgurrum@ti.com

More information

Surface Texture Measurement Fundamentals

Surface Texture Measurement Fundamentals Surface Texture Measurement Fundamentals Dave MacKenzie Slide 1 Presentation Scope Examples of Why We Measure Surface Texture Stylus Based Instruments Stylus Tracing Methods Filters and Cutoff Basic Parameters

More information

Roughness parameters and surface deformation measured by "Coherence Radar" P. Ettl, B. Schmidt, M. Schenk, I. Laszlo, G. Häusler

Roughness parameters and surface deformation measured by Coherence Radar P. Ettl, B. Schmidt, M. Schenk, I. Laszlo, G. Häusler Roughness parameters and surface deformation measured by "Coherence Radar" P. Ettl, B. Schmidt, M. Schenk, I. Laszlo, G. Häusler University of Erlangen, Chair for Optics Staudtstr. 7/B2, 91058 Erlangen,

More information

MicraGEM-Si A flexible process platform for complex MEMS devices

MicraGEM-Si A flexible process platform for complex MEMS devices MicraGEM-Si A flexible process platform for complex MEMS devices By Dean Spicer, Jared Crawford, Collin Twanow, and Nick Wakefield Introduction MicraGEM-Si is a process platform for MEMS prototyping and

More information

High spatial resolution measurement of volume holographic gratings

High spatial resolution measurement of volume holographic gratings High spatial resolution measurement of volume holographic gratings Gregory J. Steckman, Frank Havermeyer Ondax, Inc., 8 E. Duarte Rd., Monrovia, CA, USA 9116 ABSTRACT The conventional approach for measuring

More information

Modelling Flat Spring Performance Using FEA

Modelling Flat Spring Performance Using FEA Modelling Flat Spring Performance Using FEA Blessing O Fatola, Patrick Keogh and Ben Hicks Department of Mechanical Engineering, University of Corresponding author bf223@bath.ac.uk Abstract. This paper

More information

ACCURATE TEXTURE MEASUREMENTS ON THIN FILMS USING A POWDER X-RAY DIFFRACTOMETER

ACCURATE TEXTURE MEASUREMENTS ON THIN FILMS USING A POWDER X-RAY DIFFRACTOMETER ACCURATE TEXTURE MEASUREMENTS ON THIN FILMS USING A POWDER X-RAY DIFFRACTOMETER MARK D. VAUDIN NIST, Gaithersburg, MD, USA. Abstract A fast and accurate method that uses a conventional powder x-ray diffractometer

More information

P recise Eye. High resolution, diffraction-limited f/4.5 optical quality for high precision measurement and inspection.

P recise Eye. High resolution, diffraction-limited f/4.5 optical quality for high precision measurement and inspection. High resolution, diffraction-limited f/4.5 optical quality for high precision measurement and inspection. Long working distance makes lighting and handling easier. Compact size. Coaxial lighting available

More information

A Six Degree of Freedom, Piezoelectrically Actuated Translation Stage

A Six Degree of Freedom, Piezoelectrically Actuated Translation Stage A Six Degree of Freedom, Piezoelectrically Actuated Translation Stage Richard M. Seugling, Roy H.R. Jacobs, Stuart T. Smith, Lowell P. Howard, Thomas LeBrun Center for Precision Metrology, UNC Charlotte,

More information

TSV Test. Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea

TSV Test. Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea TSV Test Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea # Agenda TSV Test Issues Reliability and Burn-in High Frequency Test at Probe (HFTAP) TSV Probing Issues DFT Opportunities

More information

Product Specifications

Product Specifications Product Specifications The ksa RateRat Pro is a turnkey, real-time, in-situ optical reflectance probe designed for deposition monitoring of semi-absorbent thin films. The RateRat Pro measures deposition

More information

Bringing Patterned Media to Production with Value Added Metrology

Bringing Patterned Media to Production with Value Added Metrology Bringing Patterned Media to Production with Value Added Dean Dawson, Andrew S. Lopez Diskcon /IDEMA Conference, Session 6 September 24th, 2009 Overview Introduction AFM Scan Modes New Nanotrench Pattern

More information

Minimizing Thin Glass Deflection in Flexible Display Manufacturing via Pin Map Optimization

Minimizing Thin Glass Deflection in Flexible Display Manufacturing via Pin Map Optimization Minimizing Thin Glass Deflection in Flexible Display Manufacturing via Pin Map Optimization Nam Hoon Jo BS. Scholar, Department of Mechanical Engineering, Graduate School Kongju National University (KNU),

More information

Computed Tomography & 3D Metrology Application of the VDI/VDE Directive 2630 and Optimization of the CT system

Computed Tomography & 3D Metrology Application of the VDI/VDE Directive 2630 and Optimization of the CT system Computed Tomography & 3D Metrology Application of the VDI/VDE Directive 2630 and Optimization of the CT system ECNDT 2014 Prague October 6-10, 2014 Dr. Eberhard Neuser Dr. Alexander Suppes Imagination

More information

Mode-Field Diameter and Spot Size Measurements of Lensed and Tapered Specialty Fibers

Mode-Field Diameter and Spot Size Measurements of Lensed and Tapered Specialty Fibers Mode-Field Diameter and Spot Size Measurements of Lensed and Tapered Specialty Fibers By Jeffrey L. Guttman, Ph.D., Director of Engineering, Ophir-Spiricon Abstract: The Mode-Field Diameter (MFD) and spot

More information

Renishaw touch-trigger probing technology. Rugged and flexible solutions for discrete point measurement on CMMs

Renishaw touch-trigger probing technology. Rugged and flexible solutions for discrete point measurement on CMMs Renishaw touch-trigger probing technology Rugged and flexible solutions for discrete point measurement on CMMs Touch-trigger probe technologies Resistive Simple Compact Rugged Strain-gauge Solid-state

More information

TFT-LCD Technology Introduction

TFT-LCD Technology Introduction TFT-LCD Technology Introduction Thin film transistor liquid crystal display (TFT-LCD) is a flat panel display one of the most important fields, because of its many advantages, is the only display technology

More information

Nanomechanics, Inc. NanoBlitz 3D Mechanical Property Mapping User Instructions SKYD

Nanomechanics, Inc. NanoBlitz 3D Mechanical Property Mapping User Instructions SKYD Nanomechanics, Inc. User Instructions SKYD-1252-0 Contents 1 Introduction Nanomechanics, Incorporated... 2 1.1 A Word About Us... 2 1.2 Getting More Information About NanoMechanics, Inc. and Our Products...

More information

Optics for nonlinear microscopy

Optics for nonlinear microscopy Optics for nonlinear microscopy Nonlinear microscopy Dispersion management Compact housing In-line input/output apertures High throughput Robust mechanical design Latest generations of Dispersive Mirrors

More information

Influence of Geometrical Configuration of Cantilever Structure on Sensitivity of MEMS Resonant Sensors

Influence of Geometrical Configuration of Cantilever Structure on Sensitivity of MEMS Resonant Sensors Influence of Geometrical Configuration of Cantilever Structure on Sensitivity of MEMS Resonant Sensors Georgeta Ionascu 1, Adriana Sandu 2, Elena Manea 3, Lucian Bogatu 4 1 Professor, Mechatronics & Precision

More information

University of Huddersfield Repository

University of Huddersfield Repository University of Huddersfield Repository Muhamedsalih, Hussam, Jiang, Xiang and Gao, F. Comparison of fast Fourier transform and convolution in wavelength scanning interferometry Original Citation Muhamedsalih,

More information

MEMS - Exhibitor Presentations Manufacturing Technology and Quality Multi Sensor Metrology for Control of MEMS Production

MEMS - Exhibitor Presentations Manufacturing Technology and Quality Multi Sensor Metrology for Control of MEMS Production MEMS - Exhibitor Presentations Manufacturing Technology and Quality Multi Sensor Metrology for Control of MEMS Production Dr. Bastian Marheineke FRT, Fries Research & Technology GmbH marheineke@frt-gmbh.com

More information

Introduction. In-Situ Metrology for Veeco k465i GaN MOCVD WHAT BLUE BANDIT PROVIDES IN REAL-TIME: k-space Associates, Inc.

Introduction. In-Situ Metrology for Veeco k465i GaN MOCVD WHAT BLUE BANDIT PROVIDES IN REAL-TIME: k-space Associates, Inc. O C T O B E R 2 0 1 2 k-space Associates, Inc. WHAT BLUE BANDIT PROVIDES IN REAL-TIME: Direct, True GaN Film Temperature During InGaN MQW Growth Direct, Auto- Calibrated Wafer Carrier and Wafer Pocket

More information

Flexus 2320 SOP Revision 1 01/25/16 Page 1 of 7. Flexus 2320 SOP

Flexus 2320 SOP Revision 1 01/25/16 Page 1 of 7. Flexus 2320 SOP Page 1 of 7 Flexus 2320 SOP The Flexus 2320 determines wafer curvature by measuring the angle of deflection of a laser beam off the surface 6, 4, 3 and 2 diameter substrates. Film stress is determined

More information

Akrometrix Testing Applications

Akrometrix Testing Applications Akrometrix Optical Techniques: Akrometrix Testing Applications Three full-field optical techniques, shadow moiré, digital image correlation (DIC), and fringe projection (performed by the DFP) are used

More information

Transmission Electron Microscopy 2. Scattering and Diffraction

Transmission Electron Microscopy 2. Scattering and Diffraction Transmission Electron Microscopy 2. Scattering and Diffraction EMA 6518 Spring 2007 01/07 Outline Why are we interested in electron scattering? Terminology of scattering The characteristics of electron

More information

March 5-8, 2017 Hilton Phoenix / Mesa Hotel Mesa, Arizona Archive Session 7

March 5-8, 2017 Hilton Phoenix / Mesa Hotel Mesa, Arizona Archive Session 7 March 5-8, 2017 Hilton Phoenix / Mesa Hotel Mesa, Arizona Archive Session 7 2017 BiTS Workshop Image: tonda / istock Copyright Notice The presentation(s)/poster(s) in this publication comprise the Proceedings

More information

Impact of 3D Laser Data Resolution and Accuracy on Pipeline Dents Strain Analysis

Impact of 3D Laser Data Resolution and Accuracy on Pipeline Dents Strain Analysis More Info at Open Access Database www.ndt.net/?id=15137 Impact of 3D Laser Data Resolution and Accuracy on Pipeline Dents Strain Analysis Jean-Simon Fraser, Pierre-Hugues Allard Creaform, 5825 rue St-Georges,

More information

ANALYSIS AND MEASUREMENT OF SCARF-LAP AND STEP-LAP JOINT REPAIR IN COMPOSITE LAMINATES

ANALYSIS AND MEASUREMENT OF SCARF-LAP AND STEP-LAP JOINT REPAIR IN COMPOSITE LAMINATES 16 TH INTERNATIONAL CONFERENCE ON COMPOSITE MATERIALS ANALYSIS AND MEASUREMENT OF SCARF-LAP AND STEP-LAP JOINT REPAIR IN COMPOSITE LAMINATES David H. Mollenhauer*, Brian Fredrickson*, Greg Schoeppner*,

More information

Design of a Precision Robot Wrist Interface. Patrick Willoughby Advisor: Alexander Slocum MIT Precision Engineering Research Group

Design of a Precision Robot Wrist Interface. Patrick Willoughby Advisor: Alexander Slocum MIT Precision Engineering Research Group Design of a Precision Robot Wrist Interface Patrick Willoughby Advisor: Alexander Slocum MIT Precision Engineering Research Group Project Summary Problem: Current bolted robot wrist replacements are inaccurate,

More information

Bringing 3D Integration to Packaging Mainstream

Bringing 3D Integration to Packaging Mainstream Bringing 3D Integration to Packaging Mainstream Enabling a Microelectronic World MEPTEC Nov 2012 Choon Lee Technology HQ, Amkor Highlighted TSV in Packaging TSMC reveals plan for 3DIC design based on silicon

More information

PYRAMID: A Hierarchical Approach to E-beam Proximity Effect Correction

PYRAMID: A Hierarchical Approach to E-beam Proximity Effect Correction PYRAMID: A Hierarchical Approach to E-beam Proximity Effect Correction Soo-Young Lee Auburn University leesooy@eng.auburn.edu Presentation Proximity Effect PYRAMID Approach Exposure Estimation Correction

More information

High Resolution Phased Array Imaging using the Total Focusing Method

High Resolution Phased Array Imaging using the Total Focusing Method High Resolution Phased Array Imaging using the Total Focusing Method S. Kierspel, Wolfram A. Karl Deutsch, Helge Rast, Philippe Benoist 1, Venkat A 2 KARL DEUTSCH Pruef- und Messgeraetebau GmbH + Co KG

More information

ME Optimization of a Frame

ME Optimization of a Frame ME 475 - Optimization of a Frame Analysis Problem Statement: The following problem will be analyzed using Abaqus. 4 7 7 5,000 N 5,000 N 0,000 N 6 6 4 3 5 5 4 4 3 3 Figure. Full frame geometry and loading

More information

ksa ICE - Integrated Control for Epitaxy

ksa ICE - Integrated Control for Epitaxy Introduction The k-space Integrated Control for Epitaxy system (ksa ICE) is a modular in-situ metrology tool designed for today s MOCVD reactors. It combines proven ksa MOS, ksa BandiT, and ksa RateRat

More information

NEW OPTICAL MEASUREMENT TECHNIQUE FOR SI WAFER SURFACE DEFECTS USING ANNULAR ILLUMINATION WITH CROSSED NICOLS

NEW OPTICAL MEASUREMENT TECHNIQUE FOR SI WAFER SURFACE DEFECTS USING ANNULAR ILLUMINATION WITH CROSSED NICOLS NEW OPTICAL MEASUREMENT TECHNIQUE FOR SI WAFER SURFACE DEFECTS USING ANNULAR ILLUMINATION WITH CROSSED NICOLS Satoru Takahashi 1, Takashi Miyoshi 1, Yasuhiro Takaya 1, and Takahiro Abe 2 1 Department of

More information

Advanced CSP & Turnkey Solutions. Fumio Ohyama Tera Probe, Inc.

Advanced CSP & Turnkey Solutions. Fumio Ohyama Tera Probe, Inc. Advanced CSP & Turnkey Solutions Fumio Ohyama Tera Probe, Inc. Tera Probe - Corporate Overview 1. Company : Tera Probe, Inc. 2. Founded : August, 2005 3. Capital : Approx. USD118.2 million (as of March

More information

NEAR-IR BROADBAND POLARIZER DESIGN BASED ON PHOTONIC CRYSTALS

NEAR-IR BROADBAND POLARIZER DESIGN BASED ON PHOTONIC CRYSTALS U.P.B. Sci. Bull., Series A, Vol. 77, Iss. 3, 2015 ISSN 1223-7027 NEAR-IR BROADBAND POLARIZER DESIGN BASED ON PHOTONIC CRYSTALS Bogdan Stefaniţă CALIN 1, Liliana PREDA 2 We have successfully designed a

More information

ULTRA-THIN DOUBLE LAYER METROLOGY WITH HIGH LATERAL RESOLUTION. Semicon West 2018, Bernd Srocka

ULTRA-THIN DOUBLE LAYER METROLOGY WITH HIGH LATERAL RESOLUTION. Semicon West 2018, Bernd Srocka ULTRA-THIN DOUBLE LAYER METROLOGY WITH HIGH LATERAL RESOLUTION Semicon West 2018, Bernd Srocka Mission Unity-SC provides a wide range of Solutions in Metrology& inspection to the Semiconductor Industry,

More information

Homework No. 5. Fundamentals of AFM: Part I. Lectures: P1_Wk5_L1 - P1_Wk5_L6

Homework No. 5. Fundamentals of AFM: Part I. Lectures: P1_Wk5_L1 - P1_Wk5_L6 Homework No. 5 Fundamentals of AFM: Part I Problem 1: Does the tip radius change during an AFM experiment? It is useful to have a simple, in-situ test that determines if the tip radius changes during the

More information

WORCESTER POLYTECHNIC INSTITUTE

WORCESTER POLYTECHNIC INSTITUTE WORCESTER POLYTECHNIC INSTITUTE MECHANICAL ENGINEERING DEPARTMENT Optical Metrology and NDT ME-593L, C 2018 Introduction: Wave Optics January 2018 Wave optics: coherence Temporal coherence Review interference

More information

Positioning system of a metrological AFM: design considerations

Positioning system of a metrological AFM: design considerations Positioning system of a metrological AFM: design considerations AFM workshop LNE, Trappes Jan Piot K.U.Leuven Division PMA Overview Introduction General layout metrological AFM Layout of the positioning

More information

RoHS COMPLIANT 2002/95/EC

RoHS COMPLIANT 2002/95/EC Superlum Broadband Light Sources cblmd-series (2nd Generation) Compact Broadband Light Technical Product Specification Document Number SL.3328.00.000D3 June 2017 Revision 001 ATTENTION ELECTROSTATIC SENSITIVE

More information

MACRO-SCALE PRECISION ALIGNMENT. 3.1 Precision Machine Design Alignment Principles

MACRO-SCALE PRECISION ALIGNMENT. 3.1 Precision Machine Design Alignment Principles Chapter 3 MACRO-SCALE PRECISION ALIGNMENT 3.1 Precision Machine Design Alignment Principles Whenever two solid bodies are positioned with respect to each other, the quality of the alignment can be described

More information

DETECTION AND QUANTIFICATION OF CRACKS IN PRESSURE VESSELS USING ESPI AND FEA MODELLS

DETECTION AND QUANTIFICATION OF CRACKS IN PRESSURE VESSELS USING ESPI AND FEA MODELLS DETECTION AND QUANTIFICATION OF CRACKS IN PRESSURE VESSELS USING ESPI AND FEA MODELLS J GRYZAGORIDIS, DM FINDEIS, JR MYLES Department of Mechanical Engineering University of Cape Town Abstract Non destructive

More information

Stress Reduction during Silicon Thinning Using Thermal Relaxation and 3D Curvature Correction Techniques

Stress Reduction during Silicon Thinning Using Thermal Relaxation and 3D Curvature Correction Techniques Stress Reduction during Silicon Thinning Using Thermal Relaxation and 3D Curvature Correction Techniques Jim Colvin Consultant Heenal Patel, Timothy Hazeldine Ultra Tec Manufacturing, Santa Ana, USA Abstract

More information

Micron Level Placement Accuracy for Wafer Scale Packaging of P-Side Down Lasers in Optoelectronic Products

Micron Level Placement Accuracy for Wafer Scale Packaging of P-Side Down Lasers in Optoelectronic Products Micron Level Placement Accuracy for Wafer Scale Packaging of P-Side Down Lasers in Optoelectronic Products Daniel D. Evans, Jr. and Zeger Bok Palomar Technologies, Inc. 2728 Loker Avenue West Carlsbad,

More information

Assembly of thin gratings for soft x-ray telescopes

Assembly of thin gratings for soft x-ray telescopes Assembly of thin gratings for soft x-ray telescopes Mireille Akilian 1, Ralf K. Heilmann and Mark L. Schattenburg Space Nanotechnology Laboratory, MIT Kavli Institute for Astrophysics and Space Research,

More information

Ink Volume Displacement In An Impulse Printhead With Bilaminar Transducer

Ink Volume Displacement In An Impulse Printhead With Bilaminar Transducer Ink Volume Displacement In An Impulse Printhead With Bilaminar Transducer Hue Le and Ron Burr Tektronix, Inc., Wilsonville, Oregon Qiming Zhang and Qichang Xu Pennsylvania State University, University

More information

Chapter 1 Introduction

Chapter 1 Introduction Chapter 1 Introduction 1.1 MOTIVATION 1.1.1 LCD Industry and LTPS Technology [1], [2] The liquid-crystal display (LCD) industry has shown rapid growth in five market areas, namely, notebook computers,

More information

SOLAR CELL SURFACE INSPECTION USING 3D PROFILOMETRY

SOLAR CELL SURFACE INSPECTION USING 3D PROFILOMETRY SOLAR CELL SURFACE INSPECTION USING 3D PROFILOMETRY Prepared by Benjamin Mell 6 Morgan, Ste16, Irvine CA 92618 P: 949.461.9292 F: 949.461.9232 nanovea.com Today's standard for tomorrow's materials. 21

More information

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process 2.1 Introduction Standard CMOS technologies have been increasingly used in RF IC applications mainly

More information

Eric Lindmark, Ph.D.

Eric Lindmark, Ph.D. Theory and Practical Application Written by: Eric Lindmark, Ph.D. v061608 4611 Chatsworth Street Shoreview, Minnesota, 55126-5813, USA www.promet.net Introduction In theory, higher bit rate fiber optic

More information

Multisensor Coordinate Measuring Machines ZEISS O-INSPECT

Multisensor Coordinate Measuring Machines ZEISS O-INSPECT Multisensor Coordinate Measuring Machines ZEISS O-INSPECT Having all the necessary options for reliable measurements. ZEISS O-INSPECT // RELIABILITY MADE BY ZEISS 2 The O-INSPECT multisensor measuring

More information

Design of piezoelectric cantilevers. Tomás Muchenik. MAE 656: Advanced computer aided design Morgantown, WV,

Design of piezoelectric cantilevers. Tomás Muchenik. MAE 656: Advanced computer aided design Morgantown, WV, Design of piezoelectric cantilevers Tomás Muchenik MAE 656: Advanced computer aided design Morgantown, WV, 08 10 2012 Presentation Description of the problem solved Description of the numerical model Results

More information

Offshore Platform Fluid Structure Interaction (FSI) Simulation

Offshore Platform Fluid Structure Interaction (FSI) Simulation Offshore Platform Fluid Structure Interaction (FSI) Simulation Ali Marzaban, CD-adapco Murthy Lakshmiraju, CD-adapco Nigel Richardson, CD-adapco Mike Henneke, CD-adapco Guangyu Wu, Chevron Pedro M. Vargas,

More information

Sensor based adaptive laser micromachining using ultrashort pulse lasers for zero-failure manufacturing

Sensor based adaptive laser micromachining using ultrashort pulse lasers for zero-failure manufacturing Sensor based adaptive laser micromachining using ultrashort pulse lasers for zero-failure manufacturing Fraunhofer Institute for Production Technology, Aachen M. Sc. Guilherme Mallmann Prof. Dr.-Ing. Robert

More information

Optics Vac Work MT 2008

Optics Vac Work MT 2008 Optics Vac Work MT 2008 1. Explain what is meant by the Fraunhofer condition for diffraction. [4] An aperture lies in the plane z = 0 and has amplitude transmission function T(y) independent of x. It is

More information

Applications of Piezo Actuators for Space Instrument Optical Alignment

Applications of Piezo Actuators for Space Instrument Optical Alignment Year 4 University of Birmingham Presentation Applications of Piezo Actuators for Space Instrument Optical Alignment Michelle Louise Antonik 520689 Supervisor: Prof. B. Swinyard Outline of Presentation

More information

Scanning Acoustic Microscopy For Metrology of 3D Interconnect Bonded Wafers

Scanning Acoustic Microscopy For Metrology of 3D Interconnect Bonded Wafers Scanning Acoustic Microscopy For Metrology of 3D Interconnect Bonded Wafers Jim McKeon, Ph.D. - Sonix, Director of Technology Sriram Gopalan, Ph.D. - Sonix, Technology Engineer 8700 Morrissette Drive 8700

More information

ECP Embedded Component Packaging Technology

ECP Embedded Component Packaging Technology ECP Embedded Component Packaging Technology A.Kriechbaum, H.Stahr, M.Biribauer, N.Haslebner, M.Morianz, M.Beesley AT&S Austria Technologie und Systemtechnik AG Abstract The packaging market has undergone

More information

Review of paper Non-image-forming optical components by P. R. Yoder Jr.

Review of paper Non-image-forming optical components by P. R. Yoder Jr. Review of paper Non-image-forming optical components by P. R. Yoder Jr. Proc. of SPIE Vol. 0531, Geometrical Optics, ed. Fischer, Price, Smith (Jan 1985) Karlton Crabtree Opti 521 14. November 2007 Introduction:

More information

A New Model for Optical Crosstalk in SinglePhoton Avalanche Diodes Arrays

A New Model for Optical Crosstalk in SinglePhoton Avalanche Diodes Arrays A New Model for Optical Crosstalk in SinglePhoton Avalanche Diodes Arrays I. Rech, A. Ingargiola, R. Spinelli, S. Marangoni, I. Labanca, M. Ghioni, S. Cova Dipartimento di Elettronica ed Informazione Politecnico

More information

SURFACE BOUNDARY MEASUREMENT USING 3D PROFILOMETRY

SURFACE BOUNDARY MEASUREMENT USING 3D PROFILOMETRY SURFACE BOUNDARY MEASUREMENT USING 3D PROFILOMETRY Prepared by Craig Leising 6 Morgan, Ste156, Irvine CA 92618 P: 949.461.9292 F: 949.461.9232 nanovea.com Today's standard for tomorrow's materials. 2013

More information

A SUPER-RESOLUTION MICROSCOPY WITH STANDING EVANESCENT LIGHT AND IMAGE RECONSTRUCTION METHOD

A SUPER-RESOLUTION MICROSCOPY WITH STANDING EVANESCENT LIGHT AND IMAGE RECONSTRUCTION METHOD A SUPER-RESOLUTION MICROSCOPY WITH STANDING EVANESCENT LIGHT AND IMAGE RECONSTRUCTION METHOD Hiroaki Nishioka, Satoru Takahashi Kiyoshi Takamasu Department of Precision Engineering, The University of Tokyo,

More information

Plano-Convex Lenses. Read further. Catalog Items BK7 Plano-Convex Lenses. Description. Standard specifications. Features.

Plano-Convex Lenses. Read further. Catalog Items BK7 Plano-Convex Lenses. Description. Standard specifications. Features. Plano-Convex Lenses (PCX) PCX positive focal length lenses have flat surface on one side and spherical surface on the other. They are used for focusing beams in telescopes, collimators or condenser systems,

More information

Measuring Microfeatures with Dense Point Clouds

Measuring Microfeatures with Dense Point Clouds Measuring Microfeatures with Dense Point Clouds Scanning with tomography, microprobes and confocal or contrast variation systems Dr.-Ing. Ingomar Schmidt Microfeature Geometries smaller than 300 µm, which

More information

Xilinx SSI Technology Concept to Silicon Development Overview

Xilinx SSI Technology Concept to Silicon Development Overview Xilinx SSI Technology Concept to Silicon Development Overview Shankar Lakka Aug 27 th, 2012 Agenda Economic Drivers and Technical Challenges Xilinx SSI Technology, Power, Performance SSI Development Overview

More information

Linear and Nonlinear Analysis of a Cantilever Beam

Linear and Nonlinear Analysis of a Cantilever Beam LESSON 1 Linear and Nonlinear Analysis of a Cantilever Beam P L Objectives: Create a beam database to be used for the specified subsequent exercises. Compare small vs. large displacement analysis. Linear

More information

Tutorial. Spring Foundation

Tutorial. Spring Foundation Page i Preface This tutorial provides an example on how to model a spring foundation using BRIGADE/Plus. Page ii Contents 1 OVERVIEW... 1 2 GEOMETRY... 1 3 MATERIAL AND SECTION PROPERTIES... 2 4 STEP DEFINITION...

More information

ECEN 4606, UNDERGRADUATE OPTICS LAB

ECEN 4606, UNDERGRADUATE OPTICS LAB ECEN 4606, UNDERGRADUATE OPTICS LAB Lab 5: Interferometry and Coherence SUMMARY: In this lab you will use interference of a temporally coherent (very narrow temporal frequency bandwidth) laser beam to

More information

How to Measure Wedge. Purpose. Introduction. Tools Needed

How to Measure Wedge. Purpose. Introduction. Tools Needed Purpose Optical Wedge Application (OWA) is an add-on analysis tool for measurement of optical wedges in either transmission or reflection. OWA can measure a single part or many parts simultaneously (e.g.

More information