ABCD: Booleanizing Continuous Systems for Analog/Mixed-Signal Design, Simulation, and Verification

Size: px
Start display at page:

Download "ABCD: Booleanizing Continuous Systems for Analog/Mixed-Signal Design, Simulation, and Verification"

Transcription

1 ABCD: Booleanizing Continuous Systems for Analog/Mixed-Signal Design, Simulation, and Verification Aadithya V. Karthik, Sayak Ray, Pierluigi Nuzzo, Alan Mishchenko, Robert Brayton, and Jaijeet Roychowdhury EECS Dept., The University of California, Berkeley TAU 2014, Santa Cruz 1/19

2 The Problem: AMS Verification Example: SERDES PLL CDR Analog parts I/O Surrounded by Digital Logic Want to verify complete system >1V e.g., eye opening height > 1V? Proof or counter-example needed 2/19

3 Our approach: Booleanize the analog parts Challenge: ABCD: AnalogBoolean models + Digital models (don't mix) approximation ALL Continuous Boolean BOOLEAN Best verification tools = all Boolean, no continuous SAR-ADC Boolean T/H approximation Analog components Boolean comparator approximation Boolean DAC approximation Digital components Verification tools accept Fast Formal verification, high-speed simulation, test pattern generation,... for the full combined system! 3/19

4 ABCD: Boolean, but Accurate What is accurate Booleanization? disc. o/p disc. i/p disc. time Boolean Logic discretized ckt. signals Boolean state More bits, better accuracy 4/19

5 Prior work: ABCD-L (DAC 2013) Linear Analog Circuit ABCD-L Purely Boolean Model Works only for linear systems! Example: Channel + Equalizer Linearize Bit Sequence 5/19

6 Introducing ABCD-NL Non-Linear Analog Circuit ABCD-NL Purely Boolean Model Rest of this talk 1 How ABCD-NL works 2 Results: Charge pump, ADC, DAC, etc. 3 End user applications High-speed simulation, formal verification, test pattern generation, etc. for non-linear AMS ckts. 6/19

7 ABCD-NL Models are FSMs Non-Linear Analog Circuit ABCD-NL Purely Boolean FSM Model Finite number of states Arcs denoting state transitions Each arc: ip/op pair Purely Boolean form /19

8 Key idea: DC, TRAN FSM states 1 0 DC TRAN Non-Linear Analog Circuit Eventually settles and never changes (DC input DC output) Starts at DC0 and eventually settles at DC1 1 DC FSM states w/ loops Multiple such DC states Capture different DCOPs DCOPs don't change instantly TRAN FSM states Between each pair of DC states Purely Boolean Model 8/19

9 Booleanizing a Charge Pump (1/3) 1 Do SPICE simulations Active Discretize Vup, Vdown using 1 bit each, Vout using 5 bits UP high DOWN low UP low DOWN high Exactly 1 high at any time Both high Both low Dormant 9/19

10 Booleanizing a Charge Pump (2/3) 2 Analyze SPICE waveforms Build Analog Transition Table TRAN path DC3 to DC2: up (down) goes 1 0 (0 1) Total time 20.63ns, o/p 31, becomes 213.6ps,. 10/19

11 Booleanizing a Charge Pump (3/3) 3 Analog Transition Table Boolean Model disc. o/p disc. i/p <ipbv> <cstbv> <opbv> <nstbv> Combinational Logic Registers disc. time Boolean state i/p: 2-bit encoding o/p: 5-bit encoding 32 levels in [0, Vdd] FSM state: 19 bits Lots of don't cares (75%) 11/19

12 ABCD-NL: The 3-Step Algorithm 1 Do SPICE simulations 2 Analyze SPICE waveforms Build Analog Transition Table 3 Analog Transition Table Boolean Model 12/19

13 Simulating the Boolean Model i/p waveform Discretize into FSM symbols Simulate FSM (Fast, Table-lookup) Map back to analog 13/19

14 Charge Pump: Long PRBS Non-linear analog dynamics accurately captured over long time-frame ~10x Speedup, even in Python 14/19

15 Circuits Successfully Booleanized Delay line Charge pump Equalizer Power grid I/O signaling system SAR-ADC 15/19

16 AMS Verification: The Flow AMS System to be verified Boolean Model via ABCD-NL Proof or Counter example ABC Property to be verified Booleanize (manually) Safety vs Liveness Booleanize (manually) Input Constraints 16/19

17 Example: Verifying a Signaling System What is the max bitrate that can be sustained? Ans: 2.56Gbps ABCD model ABC Specification verification engine (Bob Brayton's group) 17/19

18 Summary AMS modelling, verification a challenge: 20% bugs Our approach: Booleanize AMS Components ABCD-L: for linear AMS systems ABCD-NL: for non-linear systems Applied to A/D and D/A converters, delay lines, charge pumps, equalizers, filters, on-chip power grids, etc. Accurate and Scalable Applications High-speed simulation Formal verification (in conjunction with ABC) 18/19

19 Questions 19/19

Harmony-AMS Analog/Mixed-Signal Simulator

Harmony-AMS Analog/Mixed-Signal Simulator Harmony-AMS Analog/Mixed-Signal Simulator Yokohama, June 2004 Workshop 7/15/04 Challenges for a True Single-Kernel A/MS Simulator Accurate partition of analog and digital circuit blocks Simple communication

More information

2015 Paper E2.1: Digital Electronics II

2015 Paper E2.1: Digital Electronics II s 2015 Paper E2.1: Digital Electronics II Answer ALL questions. There are THREE questions on the paper. Question ONE counts for 40% of the marks, other questions 30% Time allowed: 2 hours (Not to be removed

More information

EECS Components and Design Techniques for Digital Systems. Lec 07 PLAs and FSMs 9/ Big Idea: boolean functions <> gates.

EECS Components and Design Techniques for Digital Systems. Lec 07 PLAs and FSMs 9/ Big Idea: boolean functions <> gates. Review: minimum sum-of-products expression from a Karnaugh map EECS 5 - Components and Design Techniques for Digital Systems Lec 7 PLAs and FSMs 9/2- David Culler Electrical Engineering and Computer Sciences

More information

Introduction to Embedded Systems

Introduction to Embedded Systems Introduction to Embedded Systems Sanjit A. Seshia UC Berkeley EECS 149/249A Fall 2015 2008-2015: E. A. Lee, A. L. Sangiovanni-Vincentelli, S. A. Seshia. All rights reserved. Chapter 3: Discrete Dynamics,

More information

EECS150 - Digital Design Lecture 6 - Logic Simulation

EECS150 - Digital Design Lecture 6 - Logic Simulation EECS150 - Digital Design Lecture 6 - Logic Simulation Sep. 17, 013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof. John Wawrzynek)

More information

problem maximum score 1 10pts 2 8pts 3 10pts 4 12pts 5 7pts 6 7pts 7 7pts 8 17pts 9 22pts total 100pts

problem maximum score 1 10pts 2 8pts 3 10pts 4 12pts 5 7pts 6 7pts 7 7pts 8 17pts 9 22pts total 100pts University of California at Berkeley College of Engineering epartment of Electrical Engineering and Computer Sciences EECS150 J. Wawrzynek Spring 2003 2/21/03 Exam I Solutions Name: I number: This is a

More information

A Toolbox for Counter-Example Analysis and Optimization

A Toolbox for Counter-Example Analysis and Optimization A Toolbox for Counter-Example Analysis and Optimization Alan Mishchenko Niklas Een Robert Brayton Department of EECS, University of California, Berkeley {alanmi, een, brayton}@eecs.berkeley.edu Abstract

More information

High-speed Serial Interface

High-speed Serial Interface High-speed Serial Interface Lect. 16 Clock and Data Recovery 3 1 CDR Design Example ( 권대현 ) Clock and Data Recovery Circuits Transceiver PLL vs. CDR High-speed CDR Phase Detector Charge Pump Voltage Controlled

More information

System Debugging and Verification : A New Challenge. Center for Embedded Computer Systems University of California, Irvine

System Debugging and Verification : A New Challenge. Center for Embedded Computer Systems   University of California, Irvine System Debugging and Verification : A New Challenge Daniel Gajski Samar Abdi Center for Embedded Computer Systems http://www.cecs.uci.edu University of California, Irvine Overview Simulation and debugging

More information

EECS150 - Digital Design Lecture 20 - Finite State Machines Revisited

EECS150 - Digital Design Lecture 20 - Finite State Machines Revisited EECS150 - Digital Design Lecture 20 - Finite State Machines Revisited April 2, 2009 John Wawrzynek Spring 2009 EECS150 - Lec20-fsm Page 1 Finite State Machines (FSMs) FSM circuits are a type of sequential

More information

Outline. EECS Components and Design Techniques for Digital Systems. Lec 11 Putting it all together Where are we now?

Outline. EECS Components and Design Techniques for Digital Systems. Lec 11 Putting it all together Where are we now? Outline EECS 5 - Components and Design Techniques for Digital Systems Lec Putting it all together -5-4 David Culler Electrical Engineering and Computer Sciences University of California Berkeley Top-to-bottom

More information

Interdependent Latch Setup/Hold Time Characterization via Euler- Newton Curve Tracing on State- Transition Equations

Interdependent Latch Setup/Hold Time Characterization via Euler- Newton Curve Tracing on State- Transition Equations Interdependent Latch Setup/Hold Time Characterization via Euler- Newton Curve Tracing on State- Transition Equations Shweta Srivastava, Jaijeet Roychowdhury Dept of ECE, University of Minnesota, Twin Cities

More information

MAPP: A Platform for Prototyping Algorithms and Models Quickly and Easily

MAPP: A Platform for Prototyping Algorithms and Models Quickly and Easily MAPP: A Platform for Prototyping Algorithms and Models Quickly and Easily Tianshi Wang, Karthik Aadithya, Bichen Wu and Jaijeet Roychowdhury EECS Department University of California at Berkeley Slide 1

More information

SmartSpice Verilog-A Interface. Behavioral and Structural Modeling Tool - Device Model Development

SmartSpice Verilog-A Interface. Behavioral and Structural Modeling Tool - Device Model Development SmartSpice Verilog-A Interface Behavioral and Structural Modeling Tool - Device Model Development Verilog-A Models and Features Agenda Overview Design Capability Compact Modeling Verilog-A Inteface - 2

More information

An Overview of High-Speed Serial Bus Simulation Technologies

An Overview of High-Speed Serial Bus Simulation Technologies An Overview of High-Speed Serial Bus Simulation Technologies Asian IBIS Summit, Beijing, China September 11, 27.25.2.15.1.5 -.5 -.1 Arpad Muranyi arpad_muranyi@mentor.com Vladimir Dmitriev-Zdorov -.15

More information

Hierarchical FSMs with Multiple CMs

Hierarchical FSMs with Multiple CMs Hierarchical FSMs with Multiple CMs Manaloor Govindarajan Balasubramanian Manikantan Bharathwaj Muthuswamy (aka Bharath) Reference: Hierarchical FSMs with Multiple Concurrency Models. Alain Girault, Bilung

More information

When addressing VLSI design most books start from a welldefined

When addressing VLSI design most books start from a welldefined Objectives An ASIC application MSDAP Analyze the application requirement System level setting of an application Define operation mode Define signals and pins Top level model Write a specification When

More information

Additional Slides to De Micheli Book

Additional Slides to De Micheli Book Additional Slides to De Micheli Book Sungho Kang Yonsei University Design Style - Decomposition 08 3$9 0 Behavioral Synthesis Resource allocation; Pipelining; Control flow parallelization; Communicating

More information

ASIC world. Start Specification Design Verification Layout Validation Finish

ASIC world. Start Specification Design Verification Layout Validation Finish AMS Verification Agenda ASIC world ASIC Industrial Facts Why Verification? Verification Overview Functional Verification Formal Verification Analog Verification Mixed-Signal Verification DFT Verification

More information

Gain and Equalization Adaptation to Optimize the Vertical Eye Opening in a Wireline Receiver. D. Dunwell and A. Chan Carusone University of Toronto

Gain and Equalization Adaptation to Optimize the Vertical Eye Opening in a Wireline Receiver. D. Dunwell and A. Chan Carusone University of Toronto Gain and Equalization Adaptation to Optimize the Vertical Eye Opening in a Wireline Receiver D. Dunwell and A. Chan Carusone University of Toronto Analog Front End Adaptation Analog Front-End (AFE) Digital

More information

Efficient Modeling and Verification of Analog/Mixed-Signal Circuits

Efficient Modeling and Verification of Analog/Mixed-Signal Circuits Efficient Modeling and Verification of Analog/Mixed-Signal Circuits Scott R. Little University of Utah Motivation 1 About 75 percent of all chips include analog circuits. These circuits make up 2 percent

More information

Representations of Terms Representations of Boolean Networks

Representations of Terms Representations of Boolean Networks Representations of Terms Representations of Boolean Networks Logic Circuits Design Seminars WS2010/2011, Lecture 4 Ing. Petr Fišer, Ph.D. Department of Digital Design Faculty of Information Technology

More information

Analog IC Simulation. Mentor Graphics 2006

Analog IC Simulation. Mentor Graphics 2006 Analog IC Simulation Mentor Graphics 2006 Santa Clara University Department of Electrical Engineering Date of Last Revision: March 29, 2007 Table of Contents 1. Objective... 3 2. Basic Test Circuit Creation...

More information

Will Silicon Proof Stay the Only Way to Verify Analog Circuits?

Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Pierre Dautriche Jean-Paul Morin Advanced CMOS and analog. Embedded analog Embedded RF 0.5 um 0.18um 65nm 28nm FDSOI 0.25um 0.13um 45nm 1997

More information

40Gbit/s Coherent Optical Receiver Using a Costas Loop

40Gbit/s Coherent Optical Receiver Using a Costas Loop 1 40Gbit/s Coherent Optical Receiver Using a Costas Loop H. Park, M. Lu, E. Bloch, T. Reed, Z. Griffith, L. Johansson, L. Coldren, and M. Rodwell University of California at Santa Barbara 2 Introductions

More information

Lab 2: Functional Simulation Using. Affirma Analog Simulator

Lab 2: Functional Simulation Using. Affirma Analog Simulator Lab 2: Functional Simulation Using Affirma Analog Simulator This Lab will go over: 1. Creating a test bench 2. Simulation in Spectre Spice using the Analog Design environment 1. Creating a test bench:

More information

Modeling and Verifying Mixed-Signal Designs with MATLAB and Simulink

Modeling and Verifying Mixed-Signal Designs with MATLAB and Simulink Modeling and Verifying Mixed-Signal Designs with MATLAB and Simulink Arun Mulpur, Ph.D., MBA Industry Group Manager Communications, Electronics, Semiconductors, Software, Internet Energy Production, Medical

More information

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences Introductory Digital Systems Lab (6.111) uiz - Spring 2004 Prof. Anantha Chandrakasan Student Name: Problem

More information

EL6483: Basic Concepts of Embedded System ModelingSpring and Hardware-In-The-Loo

EL6483: Basic Concepts of Embedded System ModelingSpring and Hardware-In-The-Loo : Basic Concepts of Embedded System Modeling and Hardware-In-The-Loop Simulation Spring 2016 : Basic Concepts of Embedded System ModelingSpring and Hardware-In-The-Loo 2016 1 / 26 Overall system : Basic

More information

A 20 GSa/s 8b ADC with a 1 MB Memory in 0.18 µm CMOS

A 20 GSa/s 8b ADC with a 1 MB Memory in 0.18 µm CMOS A 20 GSa/s 8b ADC with a 1 MB Memory in 0.18 µm CMOS Ken Poulton, Robert Neff, Brian Setterberg, Bernd Wuppermann, Tom Kopley, Robert Jewett, Jorge Pernillo, Charles Tan, Allen Montijo 1 Agilent Laboratories,

More information

University Program Advance Material

University Program Advance Material University Program Advance Material Advance Material Modules Introduction ti to C8051F360 Analog Performance Measurement (ADC and DAC) Detailed overview of system variances, parameters (offset, gain, linearity)

More information

EECS150 - Digital Design Lecture 7 - Computer Aided Design (CAD) - Part II (Logic Simulation) Finite State Machine Review

EECS150 - Digital Design Lecture 7 - Computer Aided Design (CAD) - Part II (Logic Simulation) Finite State Machine Review EECS150 - Digital Design Lecture 7 - Computer Aided Design (CAD) - Part II (Logic Simulation) Feb 9, 2010 John Wawrzynek Spring 2010 EECS150 - Lec7-CAD2 Page 1 Finite State Machine Review State Transition

More information

High-Level Information Interface

High-Level Information Interface High-Level Information Interface Deliverable Report: SRC task 1875.001 - Jan 31, 2011 Task Title: Exploiting Synergy of Synthesis and Verification Task Leaders: Robert K. Brayton and Alan Mishchenko Univ.

More information

Local Two-Level And-Inverter Graph Minimization without Blowup

Local Two-Level And-Inverter Graph Minimization without Blowup Local Two-Level And-Inverter Graph Minimization without Blowup Robert Brummayer and Armin Biere Institute for Formal Models and Verification Johannes Kepler University Linz, Austria {robert.brummayer,

More information

Versatile SAT-based Remapping for Standard Cells

Versatile SAT-based Remapping for Standard Cells Versatile SAT-based Remapping for Standard Cells Alan Mishchenko Robert Brayton Department of EECS, UC Berkeley {alanmi, brayton@berkeley.edu Thierry Besson Sriram Govindarajan Harm Arts Paul van Besouw

More information

Digital Signal Processing for Analog Input

Digital Signal Processing for Analog Input Digital Signal Processing for Analog Input Arnav Agharwal Saurabh Gupta April 25, 2009 Final Report 1 Objective The object of the project was to implement a Fast Fourier Transform. We implemented the Radix

More information

POWER CONTROL BOARD. removed if a sampling of the +5V digital is not. desired. If any one of them is out of range all +5V

POWER CONTROL BOARD. removed if a sampling of the +5V digital is not. desired. If any one of them is out of range all +5V POWER CONTROL BOARD The power control board conditions the DC power to protect the CCD from overvoltage transients. The board passes three analog voltages (high voltage, nominally +36V, and low voltages,

More information

MODELING PHASE-LOCKED LOOPS USING VERILOG

MODELING PHASE-LOCKED LOOPS USING VERILOG MODELING PHASE-LOCKED LOOPS USING VERILOG Jeffrey Meyer Director of Engineering Symmetricom, Inc. 3750 West Wind Blvd. Santa Rosa CA 95403, USA Abstract An essential component of any mixed signal embedded

More information

Abstract. Cycle Domain Simulator for Phase-Locked Loops

Abstract. Cycle Domain Simulator for Phase-Locked Loops Abstract Cycle Domain Simulator for Phase-Locked Loops Norman James October 1999 As computers become faster and more complex, clock synthesis becomes critical. Due to the relatively slower bus clocks compared

More information

ECE 587 Hardware/Software Co-Design Lecture 12 Verification II, System Modeling

ECE 587 Hardware/Software Co-Design Lecture 12 Verification II, System Modeling ECE 587 Hardware/Software Co-Design Spring 2018 1/20 ECE 587 Hardware/Software Co-Design Lecture 12 Verification II, System Modeling Professor Jia Wang Department of Electrical and Computer Engineering

More information

Explore your design space including IBIS AMI models with Advanced Channel Simulation

Explore your design space including IBIS AMI models with Advanced Channel Simulation Explore your design space including IBIS AMI models with Advanced Channel Simulation Heidi Barnes Vincent Poisson Presenter: May, 2013 Agenda How good is my PHY? Channel Simulation Options Spice (Circuit

More information

ForFET: A Formal Feature Evaluation Tool for Hybrid Systems

ForFET: A Formal Feature Evaluation Tool for Hybrid Systems 1 ATVA 2017 ForFET: A Formal Feature Evaluation Tool for Hybrid Systems António A. Bruto da Costa, Dept. of Computer Sc & Engg Pallab Dasgupta Professor, Dept. of Computer Sc & Engg Acknowledging 2 Assertions

More information

Reasoning about Timed Systems Using Boolean Methods

Reasoning about Timed Systems Using Boolean Methods Reasoning about Timed Systems Using Boolean Methods Sanjit A. Seshia EECS, UC Berkeley Joint work with Randal E. Bryant (CMU) Kenneth S. Stevens (Intel, now U. Utah) Timed System A system whose correctness

More information

What is all the Fuzz about?

What is all the Fuzz about? What is all the Fuzz about? Fuzzy Systems CPSC 433 Christian Jacob Dept. of Computer Science Dept. of Biochemistry & Molecular Biology University of Calgary Fuzzy Systems in Knowledge Engineering Fuzzy

More information

Ling/CSE 472: Introduction to Computational Linguistics. 4/6/15: Morphology & FST 2

Ling/CSE 472: Introduction to Computational Linguistics. 4/6/15: Morphology & FST 2 Ling/CSE 472: Introduction to Computational Linguistics 4/6/15: Morphology & FST 2 Overview Review: FSAs & FSTs XFST xfst demo Examples of FSTs for spelling change rules Reading questions Review: FSAs

More information

EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis

EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis Jan 31, 2012 John Wawrzynek Spring 2012 EECS150 - Lec05-verilog_synth Page 1 Outline Quick review of essentials of state elements Finite State

More information

Improved BDD-based Discrete Analysis of Timed Systems

Improved BDD-based Discrete Analysis of Timed Systems Improved BDD-based Discrete Analysis of Timed Systems Truong Khanh Nguyen 1, Jun Sun 2, Yang Liu 1, Jin Song Dong 1 and Yan Liu 1 1 School of Computing National University of Singapore 2 Information System

More information

PSEC-4: Review of Architecture, etc. Eric Oberla 27-oct-2012

PSEC-4: Review of Architecture, etc. Eric Oberla 27-oct-2012 PSEC-4: Review of Architecture, etc. Eric Oberla 27-oct-2012 PSEC-4 ASIC: design specs LAPPD Collaboration Designed to sample & digitize fast pulses (MCPs): Sampling rate capability > 10GSa/s Analog bandwidth

More information

END-TERM EXAMINATION

END-TERM EXAMINATION (Please Write your Exam Roll No. immediately) END-TERM EXAMINATION DECEMBER 2006 Exam. Roll No... Exam Series code: 100919DEC06200963 Paper Code: MCA-103 Subject: Digital Electronics Time: 3 Hours Maximum

More information

SYSTEMC AMS ARCHITECTURE EXPLORATION FOR MIXED SIGNAL SYSTEMS

SYSTEMC AMS ARCHITECTURE EXPLORATION FOR MIXED SIGNAL SYSTEMS SYSTEMC AMS ARCHITECTURE EXPLORATION FOR MIXED SIGNAL SYSTEMS Stephan Schulz Head of Heterogeneous System Specification Fraunhofer IIS/EAS About Fraunhofer Facts and figures Fraunhofer Association Fraunhofer

More information

EECS150 - Digital Design Lecture 6 - Logic Simulation. Encoder Example

EECS150 - Digital Design Lecture 6 - Logic Simulation. Encoder Example EECS150 - Digital Design Lecture 6 - Logic Simulation Feb 7, 2013 John Wawrzynek Spring 2013 EECS150 - Lec06-sim Page 1 Encoder Example What is y if x == 4 b1111? always @(x) : encode if (x == 4'b0001)

More information

ASNT_MUX64 64Gbps 2:1 Multiplexer

ASNT_MUX64 64Gbps 2:1 Multiplexer ASNT_MUX64 64Gbps 2:1 Multiplexer 105ps data phase shift capability for both data inputs VCO s from 20GHz to 32.1GHz User selectable clock divide by 2 to 512 sync output for scope triggering 17ps Rise/Fall

More information

EE445L Fall 2010 Final Version A Page 1 of 10

EE445L Fall 2010 Final Version A Page 1 of 10 EE445L Fall 2010 Final Version A Page 1 of 10 Jonathan W. Valvano First: Last: This is the closed book section. You must put your answers in the boxes on this answer page. When you are done, you turn in

More information

ECE 587 Hardware/Software Co-Design Lecture 11 Verification I

ECE 587 Hardware/Software Co-Design Lecture 11 Verification I ECE 587 Hardware/Software Co-Design Spring 2018 1/23 ECE 587 Hardware/Software Co-Design Lecture 11 Verification I Professor Jia Wang Department of Electrical and Computer Engineering Illinois Institute

More information

2.5 V/3.3 V, 16-Bit, 2-Port Level Translating, Bus Switch ADG3247

2.5 V/3.3 V, 16-Bit, 2-Port Level Translating, Bus Switch ADG3247 V/3.3 V, 16-Bit, 2-Port Level Translating, Bus Switch FEATURES 225 ps Propagation Delay through the Switch 4.5 Switch Connection between Ports Data Rate 1.244 Gbps V/3.3 V Supply Operation Selectable Level

More information

Analog Circuit Simulator Recent Benchmarks) Silvaco Korea November 2004

Analog Circuit Simulator Recent Benchmarks) Silvaco Korea November 2004 Smart Analog Circuit Simulator Recent Benchmarks) Silvaco Korea November 2004 Smart Benchmark Test System Resource O/S : 32 Bit Operation System Resource Pentium 4 2.0 Giga, Memory: 512Meg Swap Space:

More information

AMI Applications in High-speed Serial Channel Analysis and Measurement Correlation

AMI Applications in High-speed Serial Channel Analysis and Measurement Correlation AMI Applications in High-speed Serial Channel Analysis and Measurement Correlation Jia Wei, Sunanbing, Zhu ShunLin Jia.wei@zte.com.cn, sun.anbing@zte.com.cn, Zhu.shunlin@zte.com.cn High-Speed System Lab,ZTE

More information

l Some materials from various sources! Soma 1! l Apply a signal, measure output, compare l 32-bit adder test example:!

l Some materials from various sources! Soma 1! l Apply a signal, measure output, compare l 32-bit adder test example:! Acknowledgements! Introduction and Overview! Mani Soma! l Some materials from various sources! n Dr. Phil Nigh, IBM! n Principles of Testing Electronic Systems by S. Mourad and Y. Zorian! n Essentials

More information

Hours / 100 Marks Seat No.

Hours / 100 Marks Seat No. 17333 13141 3 Hours / 100 Seat No. Instructions (1) All Questions are Compulsory. (2) Answer each next main Question on a new page. (3) Illustrate your answers with neat sketches wherever necessary. (4)

More information

On Invariants to Characterize the State Space for Sequential Logic Synthesis and Formal Verification

On Invariants to Characterize the State Space for Sequential Logic Synthesis and Formal Verification On Invariants to Characterize the State Space for Sequential Logic Synthesis and Formal Verification Mike Case Electrical Engineering and Computer Sciences University of California at Berkeley Technical

More information

L11: Major/Minor FSMs

L11: Major/Minor FSMs L11: Major/Minor FSMs Acknowledgements: Materials in this lecture are courtesy of the following sources and are used with permission. Rex Min 1 Quiz Quiz will be Closed Book Tuesday, March 21, 2006, 7:30pm-9:30pm

More information

FLAME, a new readout ASIC for the LumiCal detector

FLAME, a new readout ASIC for the LumiCal detector FLAME, a new readout ASIC for the LumiCal detector Jakub Moroń AGH-UST M. Firlej, T. Fiutowski, M. Idzik, K. Świentek Students: Sz. Bugiel, R. Dasgupta, M. Kuczyńska, J. Murdzek On behalf of FCAL Collaboration

More information

Combinational Logic Circuits

Combinational Logic Circuits Chapter 2 Combinational Logic Circuits J.J. Shann (Slightly trimmed by C.P. Chung) Chapter Overview 2-1 Binary Logic and Gates 2-2 Boolean Algebra 2-3 Standard Forms 2-4 Two-Level Circuit Optimization

More information

2.5 V/3.3 V, 8-Bit, 2-Port Level Translating, Bus Switch ADG3245

2.5 V/3.3 V, 8-Bit, 2-Port Level Translating, Bus Switch ADG3245 V/3.3 V, 8-Bit, 2-Port Level Translating, Bus Switch ADG3245 FEATURES 225 ps Propagation Delay through the Switch 4.5 Switch Connection between Ports Data Rate 1.244 Gbps V/3.3 V Supply Operation Selectable

More information

Electrical optimization and simulation of your PCB design

Electrical optimization and simulation of your PCB design Electrical optimization and simulation of your PCB design Steve Gascoigne Senior Consultant at Mentor Graphics Zagreb, 10. lipnja 2015. Copyright CADCAM Group 2015 The Challenge of Validating a Design..

More information

I 3 I 2. ! Language of logic design " Logic optimization, state, timing, CAD tools

I 3 I 2. ! Language of logic design  Logic optimization, state, timing, CAD tools Course Wrap-up Let s Try the Priority Encoder One More Time = =! Priority Encoder Revisited! What (We Hope) You Learned I 3 O 3 I j O j! Design Methodology! I 2 O 2 I O I O Zero Oj Ij Ij CS 5 - Spring

More information

On Resolution Proofs for Combinational Equivalence Checking

On Resolution Proofs for Combinational Equivalence Checking On Resolution Proofs for Combinational Equivalence Checking Satrajit Chatterjee Alan Mishchenko Robert Brayton Department of EECS U. C. Berkeley {satrajit, alanmi, brayton}@eecs.berkeley.edu Andreas Kuehlmann

More information

Principles of Digital Techniques PDT (17320) Assignment No State advantages of digital system over analog system.

Principles of Digital Techniques PDT (17320) Assignment No State advantages of digital system over analog system. Assignment No. 1 1. State advantages of digital system over analog system. 2. Convert following numbers a. (138.56) 10 = (?) 2 = (?) 8 = (?) 16 b. (1110011.011) 2 = (?) 10 = (?) 8 = (?) 16 c. (3004.06)

More information

MAPP: The Berkeley Model and Algorithm Prototyping Platform

MAPP: The Berkeley Model and Algorithm Prototyping Platform MAPP: The Berkeley Model and Algorithm Prototyping Platform Jaijeet Roychowdhury Tianshi Wang EECS Department University of California at Berkeley J. Roychowdhury, University of California at Berkeley

More information

CS 250 VLSI Design Lecture 11 Design Verification

CS 250 VLSI Design Lecture 11 Design Verification CS 250 VLSI Design Lecture 11 Design Verification 2012-9-27 John Wawrzynek Jonathan Bachrach Krste Asanović John Lazzaro TA: Rimas Avizienis www-inst.eecs.berkeley.edu/~cs250/ IBM Power 4 174 Million Transistors

More information

Simulation with Verilog-XL

Simulation with Verilog-XL Simulation with Verilog-XL Adapted from Princeton Cadence Page (http://www.ee.princeton.edu/~cadence/usr/verilog.html) Until now, we have been using the Analog Environment to do simulations. This simulator

More information

10/21/2016. ECE 120: Introduction to Computing. Let s Extend Our Keyless Entry FSM. FSM Designs Also Allow Use of Abstraction

10/21/2016. ECE 120: Introduction to Computing. Let s Extend Our Keyless Entry FSM. FSM Designs Also Allow Use of Abstraction University of Illinois at Urbana-Champaign Dept. of Electrical and Computer Engineering ECE 120: Introduction to Computing Extending Keyless Entry Combinational Logic Design Allows Use of Abstraction Recall

More information

IMPLEMENTATION OF USER-DEFINED VOLTAGE TRANSFER FUNCTIONS IN FPAA

IMPLEMENTATION OF USER-DEFINED VOLTAGE TRANSFER FUNCTIONS IN FPAA IMPLEMENTATION OF USER-DEFINED VOLTAGE TRANSFER FUNCTIONS IN FPAA Filip Todorov Koparanov, Emil Dimitrov Manolov, Mihail Hristov Tzanov Department of Electronics, Technical University - Sofia, 8 Kliment

More information

Section 10.1: Graphs and Graph Models. Introduction to Graphs Definition of a Graph Types of Graphs Examples of Graphs

Section 10.1: Graphs and Graph Models. Introduction to Graphs Definition of a Graph Types of Graphs Examples of Graphs Graphs Chapter 10 Section 10.1: Graphs and Graph Models Introduction to Graphs Definition of a Graph Types of Graphs Examples of Graphs a b c e d Introduction to Graphs Graphs are Discrete Structures that

More information

Telecommunication Electronics

Telecommunication Electronics Politecnico di Torino ICT School Telecommunication Electronics B7 PLL digital applications» PSK demodulation» Clock resynchronization» Clock/data recovery (CDR) Clock synchronization Clock multipliers

More information

2.5 V/3.3 V, 1-Bit, 2-Port Level Translator Bus Switch in SOT-66 ADG3241

2.5 V/3.3 V, 1-Bit, 2-Port Level Translator Bus Switch in SOT-66 ADG3241 2. V/3.3 V, -Bit, 2-Port Level Translator Bus Switch in SOT-66 ADG324 FEATURES FUNCTIONAL BLOCK DIAGRAM 22 ps propagation delay through the switch A B 4. Ω switch connection between ports Data rate. Gbps

More information

ENGG1015: Project Circuits

ENGG1015: Project Circuits : The Big Picture 1 st Semester 2012-13 (v1.0) 1 Overview This document summarizes the circuit that you need to construct in order to interface with the required steps, namely, the ball counting tunnel

More information

Parameterize behavioral models using WiCkeD Modeling

Parameterize behavioral models using WiCkeD Modeling Parameterize behavioral models using WiCkeD Modeling Demonstrator: Charge Pump Phase Locked Loop (CP-PLL) Dr. Volker Glöckel Introduction Overview Motivation and Documented Use Cases Demonstrator: CP-PLL

More information

Modeling and Verification of the Fairisle ATM Null Port Controller in VIS

Modeling and Verification of the Fairisle ATM Null Port Controller in VIS Modeling and Verification of the Fairisle ATM Null Port Controller in VIS Jianping Lu and Sofiène Tahar Electrical & Computer Engineering Department, Concordia University Montreal, Quebec, H3G 1M8 Canada

More information

Computer Hardware Requirements for ERTSs: Microprocessors & Microcontrollers

Computer Hardware Requirements for ERTSs: Microprocessors & Microcontrollers Lecture (4) Computer Hardware Requirements for ERTSs: Microprocessors & Microcontrollers Prof. Kasim M. Al-Aubidy Philadelphia University-Jordan DERTS-MSc, 2015 Prof. Kasim Al-Aubidy 1 Lecture Outline:

More information

PC104P-16AO20 20-Channel 16-Bit High-Speed Analog Output PC104-Plus Board With 440,000 Samples per Second per Channel, and Simultaneous Clocking

PC104P-16AO20 20-Channel 16-Bit High-Speed Analog Output PC104-Plus Board With 440,000 Samples per Second per Channel, and Simultaneous Clocking PC104P-16AO20 20-Channel 16-Bit High-Speed Analog Output PC104-Plus Board With 440,000 Samples per Second per Channel, and Simultaneous Clocking Features Include: 20 Precision High-Speed Analog Output

More information

2.5 V/3.3 V, 2-Bit, Individual Control Level Translator Bus Switch ADG3243

2.5 V/3.3 V, 2-Bit, Individual Control Level Translator Bus Switch ADG3243 2.5 V/3.3 V, 2-Bit, Individual Control Level Translator Bus Switch ADG3243 FEATURES 225 ps Propagation Delay through the Switch 4.5 Switch Connection between Ports Data Rate 1.5 Gbps 2.5 V/3.3 V Supply

More information

To prove something about all Boolean expressions, we will need the following induction principle: Axiom 7.1 (Induction over Boolean expressions):

To prove something about all Boolean expressions, we will need the following induction principle: Axiom 7.1 (Induction over Boolean expressions): CS 70 Discrete Mathematics for CS Fall 2003 Wagner Lecture 7 This lecture returns to the topic of propositional logic. Whereas in Lecture 1 we studied this topic as a way of understanding proper reasoning

More information

R07

R07 www..com www..com SET - 1 II B. Tech I Semester Supplementary Examinations May 2013 SWITCHING THEORY AND LOGIC DESIGN (Com. to EEE, EIE, BME, ECC) Time: 3 hours Max. Marks: 80 Answer any FIVE Questions

More information

IOT is IOMSLPT for Verification Engineers

IOT is IOMSLPT for Verification Engineers IOT is IOMSLPT for Verification Engineers Adam Sherer, Product Management Group Director TVS DVClub Bristol, Cambridge, Grenoble, and worldwide 12 September 2017 IOT = Internet of Mixed-Signal Low Power

More information

Mixed Signal Verification of an FPGA-Embedded DDR3 SDRAM Memory Controller using ADMS

Mixed Signal Verification of an FPGA-Embedded DDR3 SDRAM Memory Controller using ADMS Mixed Signal Verification of an FPGA-Embedded DDR3 SDRAM Memory Controller using ADMS Arch Zaliznyak 1, Malik Kabani 1, John Lam 1, Chong Lee 1, Jay Madiraju 2 1. Altera Corporation 2. Mentor Graphics

More information

Using IBIS-AMI in the Modeling of Advanced SerDes Equalization for Serial Link Simulation

Using IBIS-AMI in the Modeling of Advanced SerDes Equalization for Serial Link Simulation Using IBIS-AMI in the Modeling of Advanced SerDes Equalization for Serial Link Simulation CDNLive Boston August 2013 Mark Marlett and Mahesh Tirupattur, Analog Bits Ken Willis and Kumar Keshavan, Cadence

More information

Static Program Analysis

Static Program Analysis Static Program Analysis Thomas Noll Software Modeling and Verification Group RWTH Aachen University https://moves.rwth-aachen.de/teaching/ws-1617/spa/ Schedule of Lectures Jan 17/19: Interprocedural DFA

More information

EE 709:Testing & Verification of VLSI Circuits Introduction

EE 709:Testing & Verification of VLSI Circuits Introduction EE 709:Testing & Verification of VLSI Circuits Introduction Virendra Singh Associate Professor Computer Architecture and Dependable Systems Lab Dept. of Electrical Engineering Indian Institute of Technology

More information

Review. EECS Components and Design Techniques for Digital Systems. Lec 05 Boolean Logic 9/4-04. Seq. Circuit Behavior. Outline.

Review. EECS Components and Design Techniques for Digital Systems. Lec 05 Boolean Logic 9/4-04. Seq. Circuit Behavior. Outline. Review EECS 150 - Components and Design Techniques for Digital Systems Lec 05 Boolean Logic 94-04 David Culler Electrical Engineering and Computer Sciences University of California, Berkeley Design flow

More information

Integrating an AIG Package, Simulator, and SAT Solver

Integrating an AIG Package, Simulator, and SAT Solver Integrating an AIG Package, Simulator, and SAT Solver Alan Mishchenko Robert Brayton Department of EECS, UC Berkeley {alanmi, brayton}@berkeley.edu Abstract This paper focuses on problems where the interdependence

More information

I also provide a purpose-built ADC/DAC board to support the lab experiment. This analogue I/O board in only needed for Part 3 and 4 of VERI.

I also provide a purpose-built ADC/DAC board to support the lab experiment. This analogue I/O board in only needed for Part 3 and 4 of VERI. 1 2 I also provide a purpose-built ADC/DAC board to support the lab experiment. This analogue I/O board in only needed for Part 3 and 4 of VERI. However I will now be examining the digital serial interface

More information

Trends and Challenges

Trends and Challenges Trends and Challenges High accuracy is required in characterization, verification & signoff Increasing design complexities: -scale design ( ) using nano-scale technologies ( ) Shrinking design margins

More information

ID 810C: Renesas On-line Virtual Power Laboratory Renesas VP

ID 810C: Renesas On-line Virtual Power Laboratory Renesas VP ID 810C: Renesas On-line Virtual Power Laboratory Renesas VP Renesas Electronics America Inc. Jim Comstock Technical Marketing Manager 13 October 2010 Version: 1 Bio - Jim Comstock Current Position: Renesas

More information

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Sciences. Spring 2010 May 10, 2010

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Sciences. Spring 2010 May 10, 2010 University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Sciences EECS150 J. Wawrzynek Spring 2010 May 10, 2010 Final Exam Name: ID number: This is

More information

An Overview of the Ptolemy Project. Organizational

An Overview of the Ptolemy Project. Organizational An Overview of the Ptolemy Project Edward A. Lee Professor and Principal Investigator UC Berkeley Dept. of EECS Copyright 1997, The Regents of the University of California All rights reserved. Organizational

More information

On Resolution Proofs for Combinational Equivalence

On Resolution Proofs for Combinational Equivalence 33.4 On Resolution Proofs for Combinational Equivalence Satrajit Chatterjee Alan Mishchenko Robert Brayton Department of EECS U. C. Berkeley {satrajit, alanmi, brayton}@eecs.berkeley.edu Andreas Kuehlmann

More information

PI3PCIE V, PCI Express 1-lane, 2:1 Mux/DeMux Switch. Features. Description. Application. Pin Description (Top-side view) Truth Table

PI3PCIE V, PCI Express 1-lane, 2:1 Mux/DeMux Switch. Features. Description. Application. Pin Description (Top-side view) Truth Table 3.3V, PCI Express 1-lane, Features 2 Differential Channel, 2:1 Mux/DeMux PCI Express 2.0 Performance, 5.0Gbps Pinout optimized for placement between two PCIe slots Bi-directional operation Low Bit-to-Bit

More information

10/21/2016. A Finite State Machine (FSM) Models a System. ECE 120: Introduction to Computing. An FSM Consists of Five Parts

10/21/2016. A Finite State Machine (FSM) Models a System. ECE 120: Introduction to Computing. An FSM Consists of Five Parts University of Illinois at Urbana-Champaign Dept. of Electrical and Computer Engineering ECE 120: Introduction to Computing Finite State Machines (FSMs) A Finite State Machine (FSM) Models a System A model

More information

EECS 144/244. Fundamental Algorithms for System Modeling, Analysis, and Optimization. Lecture 1: Introduction, Systems

EECS 144/244. Fundamental Algorithms for System Modeling, Analysis, and Optimization. Lecture 1: Introduction, Systems EECS 144/244 Fundamental Algorithms for System Modeling, Analysis, and Optimization Lecture 1: Introduction, Systems Stavros Tripakis UC Berkeley Spring 2013 1 Computers as parts of Systems ~98% of the

More information