Parameterize behavioral models using WiCkeD Modeling

Size: px
Start display at page:

Download "Parameterize behavioral models using WiCkeD Modeling"

Transcription

1 Parameterize behavioral models using WiCkeD Modeling Demonstrator: Charge Pump Phase Locked Loop (CP-PLL) Dr. Volker Glöckel

2 Introduction Overview Motivation and Documented Use Cases Demonstrator: CP-PLL Starting Point Purpose of applying WiCkeD Modeling Used tools Block level Block Level Work Flow Frequency Divider Behavioral Model Phase Frequency Detector Behavioral Model Charge Pump Behavioral Model Voltage Controlled Oscillator Behavioral Model CP-PLL Simulation setup Work flow Results

3 Motivation Transistor level simulation Takes hours for large blocks like PLL (e.g. to calculate settling time). This is a problem for design and verification. Behavioral model (e.g. VerilogA, VHDL-AMS) Reduces simulation time (hours to minutes) But, it has typically static parameters No temperature, no VDD, no process variation effects Sizing is not possible To overcome this limitation Parameterize behavioral models with WiCkeD generated Response Surface Models (RSM) WiCkeD generates higher order Response Surface Models (RSM) based on transistor level simulations Simulation time still fast, but with improved accuracy Enable sizing and analysis on behavioral level WiCkeD can also be applied to behavioral simulations

4 Documented Use Cases Improve Design Performance & Yield Refer to Modeling of Multi-stage Amplifiers with WiCkeD Wolfgang Schneider, Atmel MunEDA User Group Meeting 2009 WiCkeD based simulation and modeling approach: A ring oscillator in 65nm non-volatile memory technolgy and low emission I/O pad buffer in 90nm CMOS Elena Raciti, STMicroelectronics MunEDA User Group Meeting 2009 WiCkeD 6.0 Modeling: industrial application cases Analysis and Optimization of replica path of SRAM (90nm) and Optimization of dual port SRAM (180nm) Elena Raciti, STMicroelectronics MunEDA User Group Meeting 2008

5 Supported Circuit Performance and Modeling Parameters WiCkeD Modeling supports all WiCkeD Performances and parameters types This means: Circuit performance Scalar HSPICE/Eldo measurements and Spectre+Ocean outputs Analyses: DC, AC, TRAN, PSS, PAC, PNOISE, Modeling parameters WiCkeD operating parameters Modeling operating conditions of the test-bench, e.g. supply voltage WiCkeD design parameters Device properties, e.g. width and length, allowing sizing of the behavioral model WiCkeD global process parameters Process variation affecting all instances on the die in the same way WiCkeD local process parameters Instance specific process variation causing mismatch effects

6 Supported Circuit Performance and Modeling Parameters The motivation of adding operating, design and/or process parameters to a behavioral model is different! E.g. for sizing or statistical analysis But, model generation using WiCkeD Modeling is identical! The following demonstration uses WiCkeD operating parameters Little differences while adding the WiCkeD generated models to the behavioral model Process parameters (global and local): Definition of parameter variation (e.g. Spectre vary or HSPICE/Eldo gauss statements) needs to be copied from transistor to behavioral level Device specific local process variation (mismatch) Transistor level devices do no longer exist in behavioral model Transistor level local process parameter definitions need to become global process definitions on behavioral level Spectre: a mismatch vary statement becomes a process vary statement Eldo: a dev/gauss statement becomes a lot/gauss statement

7 Demonstrator: Charge Pump Phase Locked Loop (CP-PLL) 250MHz up (active low) 500MHz Phase Frequency Detector Charge Pump Loop Filter ctrl Voltage Controlled Oscillator down Frequency Divider :2 Descriptions PFD CP LF VCO FD Transistor level VerilogA + WiCkeD RSM * * LF: ideal C and R elements so far - no modeling necessary

8 Starting point Transistor level description and simulation setup available for: All 5 blocks of CP-PLL: PFD, CP, LF, VCO, FD Complete CP-PLL Behavioral models (VerilogA without WiCkeD RSM) available for: 4/5 blocks of CP-PLL: PFD, CP, VCO, FD (excluding LF) Simulation setups apply both to transistor and behavioral level Simulation time of whole CP-PLL: Transistor level VerilogA Power on settling time 5h 25min 2 min Drawback: behavioral simulation does not consider operating conditions: Temperature Supply voltage

9 Purpose Show a possible flow to generate a more accurate behavioral level circuit description Apply WiCkeD RSM Model Generation CP-PLL: add supply voltage V DD and temperature (Temp) dependency to existing VerilogA models Supply voltage (V DD ) range: nominal 3V ± 10% Temperature (Temp) range: 10 C to 70 C, nominal 27 C Apply WiCkeD to behavioral CP-PLL netlist (VerilogA + WiCkeD RSM) Shows that WiCkeD can be used to analyze and/or optimize at behavioral level

10 Used Tools Cadence DFII IC5.1.41USR5 (Virtuoso + Analog Design Environment ADE) MMSIM (Spectre + VerilogA) MunEDA WiCkeD 6.3 (Modeling, Worst-Case Analysis, Parameter Sweeps) VerilogA models templates

11 Introduction Overview Motivation and Documented Use Cases Demonstrator: CP-PLL Starting Point Purpose Used tools Block level Block Level Work Flow Frequency Divider Behavioral Model Phase Frequency Detector Behavioral Model Charge Pump Behavioral Model Voltage Controlled Oscillator Behavioral Model CP-PLL Simulation setup Work flow Results

12 Block Level Work Flow For each block of CP-PLL: FD, PFD, CP and VCO: Improve Design Performance & Yield 1. Generate test-bench and simulation setup for outputs to be modeled with WiCkeD Delays, Resistance, Frequency 2. Introduce parameters to be used as model parameters V DD, Temp, V Ctrl 3. Apply WiCkeD to the transistor level netlist 4. Generate Response Surface Models (RSM) Based on transistor level simulations 5. Verify accuracy of RSM models E.g. compare Worst-Case Operation Analysis and Parameter Sweeps of transistor level and model simulations in WiCkeD 6. Export the WiCkeD RSM models 7. Add the WiCkeD RSM models to existing VerilogA models 8. Verify block simulations of VerilogA + WiCkeD RSM models

13 VerilogA Frequency Divider Behavioral Model Model of a rising edge triggered T flip-flop Improve Design Performance & Yield For each rising edge of the input signal a transition of the output signal is generated Constant delay from rising edges of input to rising/falling edges of output in out WiCkeD RSM add-on Both delays depend on supply voltage and temperature: delays(v DD, Temp)

14 Phase Frequency Detector Behavioral Model Improve Design Performance & Yield VerilogA Generates none-overlapping rectangular up or down signals between rising edges of reference clock and feedback clock Constant delays from rising edges of input to rising/falling edges of output reference feedback clock WiCkeD RSM add-on All 4 delays depend on supply voltage and temperature: delays(v DD, Temp) up (active low)

15 Charge Pump Behavioral Model Improve Design Performance & Yield VerilogA Calculating charge/discharge current Resistance is constant e.g. charge current: I CTRL = (V DD - V CTRL ) / R CHARGE WiCkeD RSM add-on 2 separate models for charge and discharge resistance: R CHARGE (V DD, Temp, V CTRL ) R DISCHARGE (V DD, Temp, V CTRL ) As V CTRL changes over time, the model is evaluated at each simulation step up (active low) down (active high R CHARGE R DISCHARGE CTRL

16 Voltage Controlled Oscillator Behavioral Model Improve Design Performance & Yield VerilogA Linear gain model Linearization point at center frequency freq VCO = freq CF + K VCO (V CNTL V CF ) freq (freq CF, V CF ) K VCO WiCkeD RSM add-on Gain: K VCO (V DD, Temp) Center Frequency: freq CF (V DD, Temp) V CTRL

17 Introduction Overview Motivation and Documented Use Cases Demonstrator: CP-PLL Starting Point Purpose Used tools Block level Block Level Work Flow Frequency Divider Behavioral Model Phase Frequency Detector Behavioral Model Charge Pump Behavioral Model Voltage Controlled Oscillator Behavioral Model CP-PLL Simulation setup Work flow Results

18 CP-PLL Power-on Settling Transistor Level Simulation Power on settling simulation 250MHz input reference source with accumulating normal distributed phase jitter (1% period equals 3σ) Switching V DD from 0V to 3V PLL reference: 250MHz ± jitter Output frequency VCO Control voltage Output oscillations start

19 Work Flow for the whole CP-PLL 1. Compare nominal (V DD =3V, Temp=27 C) simulations of transistor level and behavioral level (VerilogA + WiCkeD RSM) Improve Design Performance & Yield 2. Show that WiCkeD can be applied to behavioral models (VerilogA + WiCkeD RSM) Identify worst-case operation conditions (V DD, Temp) for settling time If design parameters have been added to the WiCkeD RSM models, behavioral netlists can also be optimized (sized) 3. Compare simulation results at worst-case operating conditions of transistor level and behavioral level (VerilogA + WiCkeD RSM)

20 Comparison of CP-PLL Simulation Results Nominal operating conditions of CP-PLL Improve Design Performance & Yield V DD =3.0V, Temp=27 C Transistor Level Behavioral Model VerilogA + WiCkeD RSM Simulation time 5h 25min 10min Settling time (500MHz ±5MHz) 48.1μs 45.6μs Voltage(V CTRL ) 1.488V 1.485V Results fit very well

21 CP-PLL simulation at Behavioral Level WiCkeD can be applied again to analyze (and size) quickly on behavioral level Improve Design Performance & Yield Example: Settling Time analysis at worst-case operating conditions Next step with CP-PLL: Verify simulations at worst-case operating conditions with transistor level

22 CP-PLL Simulation Results Comparison Slow operating conditions of CP-PLL Improve Design Performance & Yield V DD =2.7V, Temp=50 C Transistor Level Behavioral Level VerilogA + WiCkeD RSM Settling time (500MHz ±5MHz) 60.7μs 59.0μs Voltage(V CTRL ) 1.524V 1.527V Fast operating conditions of CP-PLL V DD =3.3V, Temp=10 C Transistor Level Behavioral Level VerilogA + WiCkeD RSM Settling time (500MHz ±5MHz) 39.6μs 35.3μs Voltage(V CTRL ) 1.429V 1.312V Both results fit very well Behavioral model now include supply voltage and temperature influence

23 Optimization Flow Úsing Behavioral Model(s) Improve Design Performance & Yield To use behavioral models for all blocks of the CP-PLL in simulation: 1. Size each block at transistor level. 2. Create/update behavioral models for each block including selected design parameters. 3. Size CP-PLL on the behavioral level. 4. Verify result w.r.t. transistor level. To define block level specifications: 1. Start with a CP-PLL model at behavioral level 2. Optimize it (e.g. in nominal case) 3. Derive block specifications 4. Continue with the above flow and iteratively improve the design and update specifications

24 Another Optimization Flow Using Behavioral Model(s) Use transistor level for a specific block to be sized and behavioral models for all other blocks of the CP-PLL: 1. Size each single block at transistor level. 2. Create/update behavioral models for each block. 3. Setup simulation using transistor level description for one block (e.g. VCO) and behavioral level for all other blocks. 4. Apply WiCkeD to size the block at transistor level 5. Update the behavioral model of the sized block 6. Continue with 3. to size another block at transistor level (e. g. round robin)

25 Summary We applied WiCkeD Modeling to speed up the simulation process of a Charge Pump PLL. Settling time was simulated. Input reference jitter can be simulated, as well. Simulation time was significantly reduced from 5h25m to 10m thanks to VerilogA. Behavioral simulation results well match transistor level simulation over temperature and supply voltage thanks to WiCkeD RSM. Possibility to apply WiCkeD to behavioral level netlists for sizing and analysis.

CHAPTER 4 DUAL LOOP SELF BIASED PLL

CHAPTER 4 DUAL LOOP SELF BIASED PLL 52 CHAPTER 4 DUAL LOOP SELF BIASED PLL The traditional self biased PLL is modified into a dual loop architecture based on the principle widely applied in clock and data recovery circuits proposed by Seema

More information

Modeling and Verifying Mixed-Signal Designs with MATLAB and Simulink

Modeling and Verifying Mixed-Signal Designs with MATLAB and Simulink Modeling and Verifying Mixed-Signal Designs with MATLAB and Simulink Arun Mulpur, Ph.D., MBA Industry Group Manager Communications, Electronics, Semiconductors, Software, Internet Energy Production, Medical

More information

Optimization of Phase- Locked Loop Circuits via Geometric Programming

Optimization of Phase- Locked Loop Circuits via Geometric Programming Optimization of Phase- Locked Loop Circuits via Geometric Programming D. Colleran, C. Portmann, A. Hassibi, C. Crusius, S. S. Mohan, S. Boyd, T. H. Lee, and M. Hershenson Outline Motivation Geometric programming

More information

SEE Tolerant Self-Calibrating Simple Fractional-N PLL

SEE Tolerant Self-Calibrating Simple Fractional-N PLL SEE Tolerant Self-Calibrating Simple Fractional-N PLL Robert L. Shuler, Avionic Systems Division, NASA Johnson Space Center, Houston, TX 77058 Li Chen, Department of Electrical Engineering, University

More information

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) Objective Part A: To become acquainted with Spectre (or HSpice) by simulating an inverter,

More information

SmartSpice Verilog-A Interface. Behavioral and Structural Modeling Tool - Device Model Development

SmartSpice Verilog-A Interface. Behavioral and Structural Modeling Tool - Device Model Development SmartSpice Verilog-A Interface Behavioral and Structural Modeling Tool - Device Model Development Verilog-A Models and Features Agenda Overview Design Capability Compact Modeling Verilog-A Inteface - 2

More information

S Exercise 1C Testing the Ring Oscillator

S Exercise 1C Testing the Ring Oscillator S-87.3148 Exercise 1C Testing the Ring Oscillator Aalto University School of Electrical Engineering Department of Micro- and Nanosciences (ECDL) 10.9.2014 1 1 Building the test bench In this exercise,

More information

PSEC-4: Review of Architecture, etc. Eric Oberla 27-oct-2012

PSEC-4: Review of Architecture, etc. Eric Oberla 27-oct-2012 PSEC-4: Review of Architecture, etc. Eric Oberla 27-oct-2012 PSEC-4 ASIC: design specs LAPPD Collaboration Designed to sample & digitize fast pulses (MCPs): Sampling rate capability > 10GSa/s Analog bandwidth

More information

Programmable CMOS LVDS Transmitter/Receiver

Programmable CMOS LVDS Transmitter/Receiver SPECIFICATION 1. FEATURES Technology TSMC 0.13um CMOS 3.3 V analog power supply 1.2 V digital power supply 1.2V CMOS input and output logic signals 8-step (3-bit) adjustable transmitter output current

More information

This Part-B course discusses design techniques that are used to reduce noise problems in large-scale integration (LSI) devices.

This Part-B course discusses design techniques that are used to reduce noise problems in large-scale integration (LSI) devices. Course Introduction Purpose This Part-B course discusses design techniques that are used to reduce noise problems in large-scale integration (LSI) devices. Objectives Learn approaches and design methods

More information

Frequency Generator for Pentium Based Systems

Frequency Generator for Pentium Based Systems Integrated Circuit Systems, Inc. ICS969C-23 Frequency Generator for Pentium Based Systems General Description The ICS969C-23 is a low-cost frequency generator designed specifically for Pentium-based chip

More information

MunEDA User Group 2006

MunEDA User Group 2006 MunEDA User Group 2006 Carlo Roma WiCkeD-ST Yield Improvement Methodology September 18-20, 2006 Statistical Analysis Status Circuit analysis & yield improvement are currently used to support designers

More information

Selecting PLLs for ASIC Applications Requires Tradeoffs

Selecting PLLs for ASIC Applications Requires Tradeoffs Selecting PLLs for ASIC Applications Requires Tradeoffs John G. Maneatis, Ph.., President, True Circuits, Inc. Los Altos, California October 7, 2004 Phase-Locked Loops (PLLs) are commonly used to perform

More information

Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial

Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial Introduction This tutorial is an introduction to schematic capture and circuit simulation for ENGN1600 using Cadence Virtuoso. These courses

More information

On-chip Phase Locked Loop (PLL) design for clock multiplier in CMOS Monolithic Active Pixel Sensors (MAPS)

On-chip Phase Locked Loop (PLL) design for clock multiplier in CMOS Monolithic Active Pixel Sensors (MAPS) On-chip Phase Locked Loop (PLL) design for clock multiplier in CMOS Monolithic Active Pixel Sensors (MAPS) Q. Sun a,b, K. Jaaskelainen a, I. Valin a, G. Claus a, Ch. Hu-Guo a, Y. Hu a, a IPHC (Institut

More information

Texas Instruments. XIO2000AI PCI Express to PCI Bus Translation Bridge. PCI Express Interface Circuit Analysis

Texas Instruments. XIO2000AI PCI Express to PCI Bus Translation Bridge. PCI Express Interface Circuit Analysis Texas Instruments XIO2000AI PCI Express to PCI Bus Translation Bridge PCI Express Interface Circuit Analysis For questions, comments, or more information about this report, or for any additional technical

More information

High Speed CMOS Charge Pump Circuit for PLL Applications Using 180nm CMOS Technology

High Speed CMOS Charge Pump Circuit for PLL Applications Using 180nm CMOS Technology High Speed CMOS Charge Pump Circuit for PLL Applications Using 180nm CMOS Technology Ronak J. Patel 1, Shaishav P. Patel 2, Nilesh D. Patel 3 1 PG Student, CSPIT, Changa, 2 PG Student, LCIT, Bhandu, 3

More information

CAP+ CAP. Loop Filter

CAP+ CAP. Loop Filter STS-12/STS-3 Multirate Clock and Data Recovery Unit FEATURES Performs clock and data recovery for 622.08 Mbps (STS-12/OC-12/STM-4) or 155.52 Mbps (STS-3/OC-3/STM-1) NRZ data 19.44 MHz reference frequency

More information

Pin Configuration and Selector Guide appear at end of data sheet. Typical Operating Circuits

Pin Configuration and Selector Guide appear at end of data sheet. Typical Operating Circuits Rev 2; 4/08 106.25MHz/212.5MHz/425MHz General Description The DS4106, DS4212, and DS4425 ceramic surfacemount crystal oscillators are part of Maxim s DS4-XO series of crystal oscillators. These devices

More information

High-speed Serial Interface

High-speed Serial Interface High-speed Serial Interface Lect. 16 Clock and Data Recovery 3 1 CDR Design Example ( 권대현 ) Clock and Data Recovery Circuits Transceiver PLL vs. CDR High-speed CDR Phase Detector Charge Pump Voltage Controlled

More information

ASNT8050-PQB GHz and GHz Programmable PLL with integrated VCOs

ASNT8050-PQB GHz and GHz Programmable PLL with integrated VCOs ASNT8050-PQB 7.4-8.8GHz and 10.8-12.7GHz Programmable PLL with integrated VCOs Programmable clock multiplier (CMU) with two selectable frequency ranges of internal PLL Optional operational mode as a clock

More information

EPSON. Technical Note. Oscillator Jitter and How to Measure It. Introduction. Jitter. Cycle-Cycle Jitter

EPSON. Technical Note. Oscillator Jitter and How to Measure It. Introduction. Jitter. Cycle-Cycle Jitter 1960 E. Grand Ave., 2 nd Floor El Segundo, California 90245 Phone: 310.955.5300 Fax: 310.955.5400 Technical Note Oscillator Jitter and How to Measure It Introduction Jitter is a term that is becoming widely

More information

Basic Sample and Hold Element. Prof. Paul Hasler Georgia Institute of Technology

Basic Sample and Hold Element. Prof. Paul Hasler Georgia Institute of Technology Basic Sample and Hold Element Prof. Paul Hasler Georgia Institute of Technology Sample and Hold Elements Sample and Hold Elements Amplitude (Hold) (Sample) (Hold) Time Sample and Hold Elements Amplitude

More information

Connecting MATLAB & Simulink with your SystemVerilog Workflow for Functional Verification

Connecting MATLAB & Simulink with your SystemVerilog Workflow for Functional Verification Connecting MATLAB & Simulink with your SystemVerilog Workflow for Functional Verification Corey Mathis Industry Marketing Manager Communications, Electronics, and Semiconductors MathWorks 2014 MathWorks,

More information

Set Up a PLL Loop Filter on the ez80f91 MCU

Set Up a PLL Loop Filter on the ez80f91 MCU Application Note Set Up a PLL Loop Filter on the ez80f91 MCU AN017504-0108 Abstract This document provides information that will help an application developer effectively use the ez80f91 MCU s on-chip

More information

EE115C Digital Electronic Circuits. Tutorial 2: Hierarchical Schematic and Simulation

EE115C Digital Electronic Circuits. Tutorial 2: Hierarchical Schematic and Simulation EE115C Digital Electronic Circuits Tutorial 2: Hierarchical Schematic and Simulation The objectives are to become familiar with Virtuoso schematic editor, learn how to create the symbol view of basic primitives,

More information

Laboratory 3. EE 342 (VLSI Circuit Design) - Using Spectre netlist and Calculator for simulation

Laboratory 3. EE 342 (VLSI Circuit Design) - Using Spectre netlist and Calculator for simulation EE 342 (VLSI Circuit Design) Laboratory 3 - Using Spectre netlist and Calculator for simulation By Mulong Li, 2013 1 Background knowledge Spectre: is a SPICE-class circuit simulator. It provides the basic

More information

Cadence Inverter Transistor Sizing Tutorial Cadence Inverter Ocean Introduction Cadence Inverter Corners Tutorial Cadence Inverter VerilogA Tutorial

Cadence Inverter Transistor Sizing Tutorial Cadence Inverter Ocean Introduction Cadence Inverter Corners Tutorial Cadence Inverter VerilogA Tutorial Cadence Inverter Transistor Sizing Tutorial Cadence Inverter Ocean Introduction Cadence Inverter Corners Tutorial Cadence Inverter VerilogA Tutorial Alfred Sargezi & Zain Ali AMS Group - San Jose State

More information

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 6T- SRAM for Low Power Consumption Mrs. J.N.Ingole 1, Ms.P.A.Mirge 2 Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 PG Student [Digital Electronics], Dept. of ExTC, PRMIT&R,

More information

XRD8775 CMOS 8-Bit High Speed Analog-to-Digital Converter

XRD8775 CMOS 8-Bit High Speed Analog-to-Digital Converter CMOS 8-Bit High Speed Analog-to-Digital Converter April 2002-4 FEATURES 8-Bit Resolution Up to 20MHz Sampling Rate Internal S/H Function Single Supply: 5V V IN DC Range: 0V to V DD V REF DC Range: 1V to

More information

Semiconductor Memory Classification

Semiconductor Memory Classification ESE37: Circuit-Level Modeling, Design, and Optimization for Digital Systems Lec 6: November, 7 Memory Overview Today! Memory " Classification " Architecture " Memory core " Periphery (time permitting)!

More information

AN 367: Implementing PLL Reconfiguration in Stratix II Devices

AN 367: Implementing PLL Reconfiguration in Stratix II Devices AN 367: Implementing PLL Reconfiguration in Stratix II Devices July 2012 AN-367-2.2 Introduction Phase-locked loops (PLLs) use several divide counters and different voltage-controlled oscillator (VCO)

More information

V PP IN V CC3 IN V CC5 IN EN0 EN1 MIC2561 V CC5_EN V CC3_EN

V PP IN V CC3 IN V CC5 IN EN0 EN1 MIC2561 V CC5_EN V CC3_EN MIC2561 PCMCIA Card Socket and V PP Switching Matrix Final Information General Description The MIC2561 & V PP Matrix controls PCMCIA (Personal Computer Memory Card International Association) memory card

More information

A mm 2 780mW Fully Synthesizable PLL with a Current Output DAC and an Interpolative Phase-Coupled Oscillator using Edge Injection Technique

A mm 2 780mW Fully Synthesizable PLL with a Current Output DAC and an Interpolative Phase-Coupled Oscillator using Edge Injection Technique A 0.0066mm 2 780mW Fully Synthesizable PLL with a Current Output DAC and an Interpolative Phase-Coupled Oscillator using Edge Injection Technique Wei Deng, Dongsheng Yang, Tomohiro Ueno, Teerachot Siriburanon,

More information

Ting Wu, Chi-Ying Tsui, Mounir Hamdi Hong Kong University of Science & Technology Hong Kong SAR, China

Ting Wu, Chi-Ying Tsui, Mounir Hamdi Hong Kong University of Science & Technology Hong Kong SAR, China CMOS Crossbar Ting Wu, Chi-Ying Tsui, Mounir Hamdi Hong Kong University of Science & Technology Hong Kong SAR, China OUTLINE Motivations Problems of Designing Large Crossbar Our Approach - Pipelined MUX

More information

AN-715 APPLICATION NOTE One Technology Way P.O. Box 9106 Norwood, MA Tel: 781/ Fax: 781/

AN-715 APPLICATION NOTE One Technology Way P.O. Box 9106 Norwood, MA Tel: 781/ Fax: 781/ APPLICATION NOTE One Technology Way P.O. Box 9106 Norwood, MA 02062-9106 Tel: 781/329-4700 Fax: 781/326-8703 www.analog.com A First Approach to IBIS Models: What They Are and How They Are Generated by

More information

AMS DESIGN METHODOLOGY

AMS DESIGN METHODOLOGY OVER VIEW CADENCE ANALOG/ MIXED-SIGNAL DESIGN METHODOLOGY The Cadence Analog/Mixed-Signal (AMS) Design Methodology employs advanced Cadence Virtuoso custom design technologies and leverages silicon-accurate

More information

Parag Choudhary Engineering Architect

Parag Choudhary Engineering Architect Parag Choudhary Engineering Architect Agenda Overview of Design Trends & Designer Challenges PCB Virtual Prototyping in PSpice Simulator extensions for Models and Abstraction levels Examples of a coding

More information

Evaluation Board User Guide UG-076

Evaluation Board User Guide UG-076 Evaluation Board User Guide UG-076 One Technology Way P.O. Box 9106 Norwood, MA 02062-9106, U.S.A. Tel: 781.329.4700 Fax: 781.461.3113 www.analog.com AD9520-x Evaluation Board FEATURES Simple power connection

More information

ESA-CNES Deep Sub-Micron program ST 65nm. Laurent Dugoujon Remy Chevallier STMicroelectronics Grenoble, France.

ESA-CNES Deep Sub-Micron program ST 65nm. Laurent Dugoujon Remy Chevallier STMicroelectronics Grenoble, France. ESA-CNES Deep Sub-Micron program ST 65nm Laurent Dugoujon Remy Chevallier STMicroelectronics Grenoble, France. Agenda 2 Presentation DSM 65nm challenges DSM 65nm Supply-chain actors ESA-CNES 65nm Program

More information

Intel MAX 10 Clocking and PLL User Guide

Intel MAX 10 Clocking and PLL User Guide Intel MAX 10 Clocking and PLL User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Intel MAX 10 Clocking and PLL

More information

ATMEL ATF280E Rad Hard SRAM Based FPGA. Bernard BANCELIN ATMEL Nantes SAS, Aerospace Business Unit

ATMEL ATF280E Rad Hard SRAM Based FPGA. Bernard BANCELIN ATMEL Nantes SAS, Aerospace Business Unit ATMEL ATF280E Rad Hard SRAM Based FPGA Bernard BANCELIN ATMEL Nantes SAS, Aerospace Business Unit Overview Atmel FPGA Key Points and Architecture ATF280E Radiation Test Results 2 Overview Atmel FPGA Key

More information

THE DESIGNER'S GUIDE TO VERILOG-AMS First Edition June 2004

THE DESIGNER'S GUIDE TO VERILOG-AMS First Edition June 2004 THE DESIGNER'S GUIDE TO VERILOG-AMS First Edition June 2004 KENNETH S. KUNDERT Cadence Design Systems OLAF ZINKE Cadence Design Systems k4 Kluwer Academic Publishers Boston/Dordrecht/London Chapter 1 Introduction

More information

Alfred Sargezi & Zain Ali. AMS Group - San Jose State University ams.sjsu.edu

Alfred Sargezi & Zain Ali. AMS Group - San Jose State University ams.sjsu.edu Cadence Inverter Transistor Sizing Tutorial Cadence Inverter Ocean Introduction Cadence Inverter Corners Tutorial Cadence Inverter VerilogA Tutorial Cadence Inverter Vout vs Vin Tutorial Alfred Sargezi

More information

An Overview of Standard Cell Based Digital VLSI Design

An Overview of Standard Cell Based Digital VLSI Design An Overview of Standard Cell Based Digital VLSI Design With examples taken from the implementation of the 36-core AsAP1 chip and the 1000-core KiloCore chip Zhiyi Yu, Tinoosh Mohsenin, Aaron Stillmaker,

More information

Plug & Play MCL488 FEATURES PRODUCT OVERVIEW MULTI-CHANNEL LOADS. Front Panel, Analog IEEE 488, or RS232 Control

Plug & Play MCL488 FEATURES PRODUCT OVERVIEW MULTI-CHANNEL LOADS. Front Panel, Analog IEEE 488, or RS232 Control MCL88 MULTI- LOADS Plug & Play FEATURES Front Panel, Analog IEEE 88, or RS232 Control 0 Amp, 350 Watt Modules 30 Amp, 75 Watt Modules Channels in 50V, 00V, 00V, or 00V Configurations Paralleling Channels

More information

Wcapacitive-touch controls for

Wcapacitive-touch controls for Adding a Capacitive-Touch User Interface With Resources Already Present In Your System JONATHAN DILLON, MICROCHIP TECHNOLOGY INC. For many systems, the building blocks for implementing capacitive sensing,

More information

CARDINAL COMPONENTS, INC.

CARDINAL COMPONENTS, INC. SERIES CJTDAE CJTDAL The Cardinal Cappuccino Crystal Oscillator LVDS/ LVPECL TCXO Features 3.3V supply voltage- configurable 10MHz to 250MHz LVDS and LVPECL outputs- configurable Better than 2Hz tuning

More information

Computing to the Energy and Performance Limits with Heterogeneous CPU-FPGA Devices. Dr Jose Luis Nunez-Yanez University of Bristol

Computing to the Energy and Performance Limits with Heterogeneous CPU-FPGA Devices. Dr Jose Luis Nunez-Yanez University of Bristol Computing to the Energy and Performance Limits with Heterogeneous CPU-FPGA Devices Dr Jose Luis Nunez-Yanez University of Bristol Power and energy savings at run-time Power = α.c.v 2.f+g1.V 3 Energy =

More information

High-Performance FPGA PLL Analysis with TimeQuest

High-Performance FPGA PLL Analysis with TimeQuest High-Performance FPGA PLL Analysis with TimeQuest August 2007, ver. 1.0 Application Note 471 Introduction f Phase-locked loops (PLLs) provide robust clock management and clock synthesis capabilities for

More information

! Memory. " RAM Memory. " Serial Access Memories. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell. " Used in most commercial chips

! Memory.  RAM Memory.  Serial Access Memories. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell.  Used in most commercial chips ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec : April 5, 8 Memory: Periphery circuits Today! Memory " RAM Memory " Architecture " Memory core " SRAM " DRAM " Periphery " Serial Access Memories

More information

A 32nm, 0.9V Supply-Noise Sensitivity Tracking PLL for Improved Clock Data Compensation Featuring a Deep Trench Capacitor Based Loop Filter

A 32nm, 0.9V Supply-Noise Sensitivity Tracking PLL for Improved Clock Data Compensation Featuring a Deep Trench Capacitor Based Loop Filter A 32nm, 0.9V Supply-Noise Sensitivity Tracking PLL for Improved Clock Data Compensation Featuring a Deep Trench Capacitor Based Loop Filter Bongjin Kim, Weichao Xu, and Chris H. Kim University of Minnesota,

More information

VCXO Performance Tradeoffs in PLL Designs

VCXO Performance Tradeoffs in PLL Designs September 21, 2011 VCXO Performance Tradeoffs in PLL Designs Table of Contents 1 Introduction...2 2 Basic PLL architecture...2 2.1 PLL as a frequency tracker/filter...2 3 Effects of VCXO parameters on

More information

A Simple Relaxation based Circuit Simulator for VLSI Circuits with Emerging Devices

A Simple Relaxation based Circuit Simulator for VLSI Circuits with Emerging Devices A Simple Relaxation based Circuit Simulator for VLSI Circuits with Emerging Devices Balwinder Kumar, Yogesh Dilip Save, H. Narayanan, and Sachin B. Patkar Electrical Engineering Department, Indian Institute

More information

Fractional N PLL GHz

Fractional N PLL GHz Fractional N PLL 8.5-11.3GHz PMCC_PLL12GFN IP MACRO Datasheet Rev 1 Process: 65nm CMOS DESCRIPTION PMCC_PLL12GFN is a macro-block designed for synthesizing the frequencies required for fiber optic transceivers

More information

SigmaRAM Echo Clocks

SigmaRAM Echo Clocks SigmaRAM Echo s AN002 Introduction High speed, high throughput cell processing applications require fast access to data. As clock rates increase, the amount of time available to access and register data

More information

TC9256APG, TC9256AFG, TC9257APG, TC9257AFG

TC9256APG, TC9256AFG, TC9257APG, TC9257AFG PLL for DTS TOSHIBA CMOS Digital Integrated Circuit Silicon Monolithic TC9256, 57APG/AFG TC9256APG, TC9256AFG, TC9257APG, TC9257AFG The TC9256APG, TC9256AFG, TC9257APG and TC9257AFG are phase-locked loop

More information

USING LOW COST, NON-VOLATILE PLDs IN SYSTEM APPLICATIONS

USING LOW COST, NON-VOLATILE PLDs IN SYSTEM APPLICATIONS USING LOW COST, NON-VOLATILE PLDs IN SYSTEM APPLICATIONS November 2010 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 Using Low

More information

Unit 7: Memory. Dynamic shift register: Circuit diagram: Refer to unit 4(ch 6.5.4)

Unit 7: Memory. Dynamic shift register: Circuit diagram: Refer to unit 4(ch 6.5.4) Unit 7: Memory Objectives: At the end of this unit we will be able to understand System timing consideration Storage / Memory Elements dynamic shift register 1T and 3T dynamic memory 4T dynamic and 6T

More information

10 Gbit/s Transmitter MUX with Re-timing GD16585/GD16589 (FEC)

10 Gbit/s Transmitter MUX with Re-timing GD16585/GD16589 (FEC) 10 Gbit/s Transmitter MUX with Re-timing GD16585/GD16589 (FEC) Preliminary General Description GD16585 and GD16589 are transmitter chips used in SDH STM-64 and SONET OC-192 optical communication systems.

More information

High-Performance Memory Interfaces Made Easy

High-Performance Memory Interfaces Made Easy High-Performance Memory Interfaces Made Easy Xilinx 90nm Design Seminar Series: Part IV Xilinx - #1 in 90 nm We Asked Our Customers: What are your challenges? Shorter design time, faster obsolescence More

More information

Intel MAX 10 Clocking and PLL User Guide

Intel MAX 10 Clocking and PLL User Guide Intel MAX 10 Clocking and PLL User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. Intel MAX 10 Clocking and PLL

More information

hal , version 1-14 Feb 2012 Abstract

hal , version 1-14 Feb 2012 Abstract Author manuscript, published in "Microelectronics Reliability, 9 () p14-18" DOI : 16/jmicrorel7 Ageing effect on electromagnetic susceptibility of a phase-locked-loop B Li 1, A Boyer, S Bendhia, C Lemoine

More information

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Lec 26: November 9, 2018 Memory Overview Dynamic OR4! Precharge time?! Driving input " With R 0 /2 inverter! Driving inverter

More information

Analog and Telecommunication Electronics

Analog and Telecommunication Electronics Politecnico di Torino - ICT School Analog and Telecommunication Electronics C1 - PLL linear analysis» PLL basics» Application examples» Linear analysis» Phase error 26/03/2014-1 ATLCE - C1-2014 DDC 2014

More information

Digital IO PAD Overview and Calibration Scheme

Digital IO PAD Overview and Calibration Scheme Digital IO PAD Overview and Calibration Scheme HyunJin Kim School of Electronics and Electrical Engineering Dankook University Contents 1. Introduction 2. IO Structure 3. ZQ Calibration Scheme 4. Conclusion

More information

A Systematic Approach to Creating Behavioral Models CDNLive, March, 2015 Bob Peruzzi, Joe Medero

A Systematic Approach to Creating Behavioral Models CDNLive, March, 2015 Bob Peruzzi, Joe Medero A Systematic Approach to Creating Behavioral Models CDNLive, March, 2015 Bob Peruzzi, Joe Medero Agenda Introduction Mixed-Signal Systems on Chips Link to White Paper Model accuracy and trade-offs Good

More information

GUIDELINES FOR USE SMIC 0.18 micron, 1.8 V high-density synchronous single port SRAM IP blocks compiler

GUIDELINES FOR USE SMIC 0.18 micron, 1.8 V high-density synchronous single port SRAM IP blocks compiler GUIDELINES FOR USE SMIC 0.18 micron, 1.8 V high-density synchronous single port SRAM IP blocks compiler Ver. 1.0 November 2010 www.ntlab.com CONTENT 1. DESCRIPTION OF THE COMPILER... 3 1.1 GENERAL CHARACTERISTICS

More information

Investigations for Minimum Invasion Digital Only Built-In Ramp Based Test Techniques for Charge Pump PLL s

Investigations for Minimum Invasion Digital Only Built-In Ramp Based Test Techniques for Charge Pump PLL s Investigations for Minimum Invasion Digital Only Built-In Ramp Based Test Techniques for Charge Pump PLL s Martin John Burbidge, Frederic Poullet 2, Jim Tijou 3, Andrew Richardson Lancaster University

More information

Prototype of SRAM by Sergey Kononov, et al.

Prototype of SRAM by Sergey Kononov, et al. Prototype of SRAM by Sergey Kononov, et al. 1. Project Overview The goal of the project is to create a SRAM memory layout that provides maximum utilization of the space on the 1.5 by 1.5 mm chip. Significant

More information

10 Gbit/s Transmitter MUX with Re-timing GD16585/GD16589 (FEC)

10 Gbit/s Transmitter MUX with Re-timing GD16585/GD16589 (FEC) an Intel company 10 Gbit/s Transmitter MUX with Re-timing GD16585/GD16589 (FEC) Preliminary General Description GD16585 and GD16589 are transmitter chips used in SDH STM-64 and SONET OC-192 optical communication

More information

ESD Protection Circuits: Basics to nano-metric ASICs

ESD Protection Circuits: Basics to nano-metric ASICs ESD Protection Circuits: Basics to nano-metric ASICs Manoj Sachdev University of Waterloo msachdev@ece.uwaterloo.ca September 2007 1 Outline Group Introduction ESD Basics Basic ESD Protection Circuits

More information

Cadence Schematic Tutorial. EEE5320/EEE4306 Fall 2015 University of Florida ECE

Cadence Schematic Tutorial. EEE5320/EEE4306 Fall 2015 University of Florida ECE Cadence Schematic Tutorial EEE5320/EEE4306 Fall 2015 University of Florida ECE 1 Remote access You may access the Linux server directly from the NEB Computer Lab using your GatorLink username and password.

More information

Abstract. Cycle Domain Simulator for Phase-Locked Loops

Abstract. Cycle Domain Simulator for Phase-Locked Loops Abstract Cycle Domain Simulator for Phase-Locked Loops Norman James October 1999 As computers become faster and more complex, clock synthesis becomes critical. Due to the relatively slower bus clocks compared

More information

APPENDIX-A INTRODUCTION TO OrCAD PSPICE

APPENDIX-A INTRODUCTION TO OrCAD PSPICE 220 APPENDIX-A INTRODUCTION TO OrCAD PSPICE 221 APPENDIX-A INTRODUCTION TO OrCAD PSPICE 1.0 INTRODUCTION Computer aided circuit analysis provides additional information about the circuit performance that

More information

AGM CPLD AGM CPLD DATASHEET

AGM CPLD AGM CPLD DATASHEET AGM CPLD DATASHEET 1 General Description AGM CPLD family provides low-cost instant-on, non-volatile CPLDs, with densities from 256, 272 to 576 logic LUTs and non-volatile flash storage of 256Kbits. The

More information

PART TOP VIEW ADDR2 ADDR3 ADDR4 SELECT S/H CONFIG V L DGND V SS AGND IN CH. Maxim Integrated Products 1

PART TOP VIEW ADDR2 ADDR3 ADDR4 SELECT S/H CONFIG V L DGND V SS AGND IN CH. Maxim Integrated Products 1 9-675; Rev ; 4/ 32-Channel Sample/Hold Amplifier General Description The MAX567 contains 32 sample-and-hold amplifiers driven by a single multiplexed input. The control logic addressing the outputs is

More information

Pentium Processor Compatible Clock Synthesizer/Driver for ALI Aladdin Chipset

Pentium Processor Compatible Clock Synthesizer/Driver for ALI Aladdin Chipset 1CY 225 7 fax id: 3517 Features Multiple clock outputs to meet requirements of ALI Aladdin chipset Six CPU clocks @ 66.66 MHz, 60 MHz, and 50 MHz, pin selectable Six PCI clocks (CPUCLK/2) Two Ref. clocks

More information

XRD87L85 Low-Voltage CMOS 8-Bit High-Speed Analog-to-Digital Converter

XRD87L85 Low-Voltage CMOS 8-Bit High-Speed Analog-to-Digital Converter Low-Voltage CMOS 8-Bit High-Speed Analog-to-Digital Converter April 2002-1 FEATURES 8-Bit Resolution Up to 10 MHz Sampling Rate Internal S/H Function Single Supply: 3.3V VIN DC Range: 0V to V DD VREF DC

More information

AS CMOS TECHNOLOGY advances and the ratio between

AS CMOS TECHNOLOGY advances and the ratio between IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 60, NO. 6, JUNE 2013 311 A 990-μW 1.6-GHz PLL Based on a Novel Supply-Regulated Active-Loop-Filter VCO Kwang-Chun Choi, Sung-Geun Kim,

More information

Advanced NI-DAQmx Programming Techniques with LabVIEW

Advanced NI-DAQmx Programming Techniques with LabVIEW Advanced NI-DAQmx Programming Techniques with LabVIEW Agenda Understanding Your Hardware Data Acquisition Systems Data Acquisition Device Subsystems Advanced Programming with NI-DAQmx Understanding Your

More information

Section 3. System Integration

Section 3. System Integration Section 3. System Integration This section includes the following chapters: Chapter 9, Configuration, Design Security, and Remote System Upgrades in the Cyclone III Device Family Chapter 10, Hot-Socketing

More information

Lab 1: Cadence Custom IC design tools- Setup, Schematic capture and simulation

Lab 1: Cadence Custom IC design tools- Setup, Schematic capture and simulation Lab 1: Cadence Custom IC design tools- Setup, Schematic capture and simulation Brittany Duffy EE 330- Integrated Electronics Lab Section B Professor Randy Geiger 1/24/13 Introduction The main goal of this

More information

PART TOP VIEW ADDR2 ADDR3 ADDR4 SELECT S/H CONFIG V L DGND V SS AGND IN N.C. Maxim Integrated Products 1

PART TOP VIEW ADDR2 ADDR3 ADDR4 SELECT S/H CONFIG V L DGND V SS AGND IN N.C. Maxim Integrated Products 1 9-674; Rev ; 4/ 32-Channel Sample/Hold Amplifier General Description The MAX568 contains 32 sample/hold amplifiers and four -of-8 multiplexers. The logic controlling the muxes and sample/hold amplifiers

More information

PI6LC48L0201A 2-Output LVDS Networking Clock Generator

PI6LC48L0201A 2-Output LVDS Networking Clock Generator Features ÎÎTwo differential LVDS output pairs ÎÎSelectable crystal oscillator interface or LVCMOS/LVTTL single-ended clock input ÎÎSupports the following output frequencies: 62.5MHz, 125MHz, 156.25MHz

More information

A 50Mvertices/s Graphics Processor with Fixed-Point Programmable Vertex Shader for Mobile Applications

A 50Mvertices/s Graphics Processor with Fixed-Point Programmable Vertex Shader for Mobile Applications A 50Mvertices/s Graphics Processor with Fixed-Point Programmable Vertex Shader for Mobile Applications Ju-Ho Sohn, Jeong-Ho Woo, Min-Wuk Lee, Hye-Jung Kim, Ramchan Woo, Hoi-Jun Yoo Semiconductor System

More information

EE4111 Advanced Analog Electronics Design. Spring 2009 Experiment #4 April 6 ~ April 17

EE4111 Advanced Analog Electronics Design. Spring 2009 Experiment #4 April 6 ~ April 17 EE4111 Advanced Analog Electronics Design Spring 2009 Experiment #4 April 6 ~ April 17 Setup Cadence in VLSI Lab 1) Copy files $ cp r /home/grads/ee4111ta ~/ 2) Edit your.cshrc file -- Include the following

More information

12-Mbit (512 K 24) Static RAM

12-Mbit (512 K 24) Static RAM 12-Mbit (512 K 24) Static RAM Features High speed t AA = 10 ns Low active power I CC = 175 ma at 10 ns Low CMOS standby power I SB2 = 25 ma Operating voltages of 3.3 ± 0.3V 2.0V data retention Automatic

More information

Fractional Divider Evaluation Board AD9858FDPCB

Fractional Divider Evaluation Board AD9858FDPCB Fractional Divider Evaluation Board AD9858FDPCB INTRODUCTION The AD9858 is a 1 GHz direct digital synthesizer (DDS) featuring a 10-bit DAC, an RF mixer, and on-chip PLL synthesis blocks. Used in conjunction,

More information

IEEE 1394a_2000 Physical Layer ASIC

IEEE 1394a_2000 Physical Layer ASIC IEEE 1394a_2000 Physical Layer ASIC Ranjit Yashwante, Bhalchandra Jahagirdar ControlNet (India) Pvt. Ltd. www.controlnetindia.com {ranjit, jahagir}@controlnet.co.in Abstract CN4011A is IEEE 1394a_2000

More information

Will Silicon Proof Stay the Only Way to Verify Analog Circuits?

Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Pierre Dautriche Jean-Paul Morin Advanced CMOS and analog. Embedded analog Embedded RF 0.5 um 0.18um 65nm 28nm FDSOI 0.25um 0.13um 45nm 1997

More information

Reduce Your System Power Consumption with Altera FPGAs Altera Corporation Public

Reduce Your System Power Consumption with Altera FPGAs Altera Corporation Public Reduce Your System Power Consumption with Altera FPGAs Agenda Benefits of lower power in systems Stratix III power technology Cyclone III power Quartus II power optimization and estimation tools Summary

More information

ASNT1016-PQA 16:1 MUX-CMU

ASNT1016-PQA 16:1 MUX-CMU 16:1 MUX-CMU 16 to 1 multiplexer (MUX) with integrated CMU (clock multiplication unit). PLL-based architecture featuring both counter and forward clocking modes. Supports multiple data rates in the 9.8-12.5Gb/s

More information

A Reset Control Apparatus for PLL Power-Up Sequence and Auto-Synchronization

A Reset Control Apparatus for PLL Power-Up Sequence and Auto-Synchronization DesignCon 2008 A Reset Control Apparatus for PLL Power-Up Sequence and Auto-Synchronization Kazi Asaduzzaman, Altera Corporation Tim Hoang, Altera Corporation Kang-Wei Lai, Altera Corporation Wanli Chang,

More information

Harmony-AMS Analog/Mixed-Signal Simulator

Harmony-AMS Analog/Mixed-Signal Simulator Harmony-AMS Analog/Mixed-Signal Simulator Yokohama, June 2004 Workshop 7/15/04 Challenges for a True Single-Kernel A/MS Simulator Accurate partition of analog and digital circuit blocks Simple communication

More information

EEC 118 Spring 2011 Lab #5 Manchester Carry-Chain Adder

EEC 118 Spring 2011 Lab #5 Manchester Carry-Chain Adder EEC 118 Spring 2011 Lab #5 Manchester Carry-Chain Adder Rajeevan Amirtharajah Dept. of Electrical and Computer Engineering University of California, Davis Issued: May 9, 2011 Due: May 20, 2011, 5 PM in

More information

AVR XMEGA Product Line Introduction AVR XMEGA TM. Product Introduction.

AVR XMEGA Product Line Introduction AVR XMEGA TM. Product Introduction. AVR XMEGA TM Product Introduction 32-bit AVR UC3 AVR Flash Microcontrollers The highest performance AVR in the world 8/16-bit AVR XMEGA Peripheral Performance 8-bit megaavr The world s most successful

More information

Nevis ADC Design. Jaroslav Bán. Columbia University. June 4, LAr ADC Review. LAr ADC Review. Jaroslav Bán

Nevis ADC Design. Jaroslav Bán. Columbia University. June 4, LAr ADC Review. LAr ADC Review. Jaroslav Bán Nevis ADC Design Columbia University June 4, 2014 Outline The goals of the project Introductory remarks The road toward the design Components developed in Nevis09, Nevis10 and Nevis12 Nevis13 chip Architecture

More information

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function.

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function. FPGA Logic block of an FPGA can be configured in such a way that it can provide functionality as simple as that of transistor or as complex as that of a microprocessor. It can used to implement different

More information

133-MHz Spread Spectrum Clock Synthesizer/Driver with AGP, USB, and DRCG Support

133-MHz Spread Spectrum Clock Synthesizer/Driver with AGP, USB, and DRCG Support 133-MHz Spread Spectrum Clock Synthesizer/Driver with AGP, USB, and DRCG Support Features Mixed 2.5V and 3.3V Operation Compliant to Intel CK133 (CY2210-3) & CK133W (CY2210-2) synthesizer and driver specification

More information