Nanometer Era Design For Manufacturability

Size: px
Start display at page:

Download "Nanometer Era Design For Manufacturability"

Transcription

1 Carnegie Mellon Nanometer Era Design For Manufacturability Andrzej J. Strojwas PDF Solutions Inc., San Jose, CA & Carnegie Mellon University, Pittsburgh, PA IEEE Wescon D2M, Santa Clara, CA April 13, 2005

2 Overview of Presentation DFM/DFY Yield Loss Mechanism Evolution Classification of DFM Approaches True DFM: Defining Proactive DFM Necessary Conditions for Proactive DFM Process Characterization Design Flows that Provide Proactive DFM DFM Results Looking into the Future: Extreme Layout Regularity

3 What is DFM/DFY Yield: Percentage of manufactured products that meet all performance and functionality specifications Yield Loss Mechanisms: Process defects Failure due to void Functional Yield: Chip does not work at all Environmental/Process Variations Out of spec Parametric Yield: Chip works but not within specification

4 Yield is now a Designer Issue Logic DFM Floorplan optimization RTL2GDS design pdfx manufacturability optimization Routing resource analysis and DFM optimization Memory Optimization Bitcell & periphery optimization Redundancy and bitcell allocation Analog DFM Realistic worst-case modeling PLL, ADC/DAC design centering Core (hard macro) Optimization Custom logic DFM optimization Custom routing DFM optimization Full Chip Yield Verification Full chip yield entitlement Hot spot analysis and verification Layout finishing (OPC/MDP) analysis and optimization

5 What is DFM/DFY How can we improve yield? Alleviate yield loss mechanisms Process engineering EDA methodologies to produce more manufacturable features (RET) Make the design more robust to yield loss mechanisms EDA methodologies to improve yield EDA methodologies to design more manufacturable circuits Design For Yield and Manufacturability (DFM/DFY) Yield improvement requires both design and manufacturing solutions

6 The Evolution of Product Yields 100% 90% Yield Limiters by Technology Node Yield 80% 70% 60% 50% 40% Random Defect Limited Yield Design Feature Limited Yield Total Yield 0.8um 0.5um 0.35um 0.25um 0.18um Technology 0.13um Random defects are no longer the dominant yield loss mechanism Yields are limited by design features, systematic and parametric effects 90nm

7 Random Yield Loss Mechanisms Al Interconnect Material opens Material shorts Type Random Yield Loss Mechanisms Active, poly and metal shorts and opens due to particle defects Contact and via opens due to formation defectivity

8 Systematic Yield Loss Mechanisms - Cu Interconnect Failure Rate Sparse neighborhood Via Failure Rate (fpb) Pitch (um) Type Systematic Yield Loss Mechanisms Impact of micro/macro loading design rule marginalities Leakage from STI related stress Contact/via opens due to local neighborhood effects (e.g. pitch/hole size) Misalignment, line-ends/borders

9 Systematic Yield Loss: Printability Nanometer Era Type Systematic Yield Loss Mechanisms Poor contact coverage due to misalignment and defocus/pull back Poly/Metal shorts Material opens

10 Parametric Yield Loss Mechanisms Nanometer Era Environment dependent poly CD variation ACLV / CD Variation Ioff Env I Env II Env III Idrive Type Parametric Yield Loss Mechanisms Performance variation from lithography effects e.g., contact/via coverage, active/poly flaring, CD variation Dummy fill parasitic effects Device mismatch Non-physical corner modeling

11 Technology Challenges: Implications for Manufacturability 90nm Back-end integration issues Low k: stress and reliability CMP - multi-layer topography issues Product ramp issues Variability Yield-performance tradeoffs 65nm Litho: OPC/PSM integration issues w/photo window (DOF) Front-end/Transistor Layout dependent performance Product ramp issues Parametric variations - > yield loss 45nm Litho: Layout pattern dependence, Scanner NA, Immersion litho, OPC/PSM integration, issues w/photo window (DOF) Front end/transistor New transistor architectures (UTB, DG SOI) Product ramp issues Reliability assurance

12 DFM is a Business Opportunity "Seamless" DFM Can Contribute 5% More Good Die What's 5% more good die worth? $50M over the life of a cell phone $80M over the life of a game chip $100M per year per fab at 90nm

13 A Brief History of DFM Functional Yield means Rules Performance Yield is Covered by Corners Idsat Distribution ASIC Corners PIdsat Realistic Corners -450 Design rules guarantee yield! well, not really then recommended rules and opportunistic design data base post-processing to enforce them -500 NIdsat The corners represent the process The corners don t represent the process but they are conservative Within chip variations are important so.. Restrict transistor layouts? Statistical timing simulation?

14 Design IP lib. Design Proactive DFM Physical SP&R Verification and Yield Opt. Timing and SI Analyses Physical Verification Post-GDS Yield Opt. pre-mdp Dummy Fill and Cheesing OPC/ RET Manufacturing MDP and Mask Making Yield Ramp Volume Production Designer access to the process is limited Most DFM today is Reactive Increase in design cycle time Misaligned mask GDSII and design database Risky design feature changes DFM needs to be Proactive Occurring early in the design flow Up-front accurate process characterization Yield modeling to characterize IP and drive EDA tools Design IP lib. Design Physical SP&R Verification and Yield Opt. Timing and SI Analyses Physical Verification Post-GDS Yield Opt. pre-mdp Dummy Fill and Cheesing OPC/ RET Manufacturing MDP and Mask Making Yield Ramp Volume Production Process Characterization + Yield Modeling

15 Necessary Conditions for Pro-Active DFM Accurate characterization of design-interactions at target fab(s) Effects modeled across the whole process window Quantification of alternatives that allow EDA tools to make millions of DFM trade-offs Integration early in the design flow where there are more degrees of freedom Floor planning SP&R Modifications made prior to verification

16 Yield Simulation is Core to Proactive DFM Yield Simulation allows for better understanding of the DFM universe A yield model for DFM: Model of failure rate of a design element (e.g., transistor, contact, via) as a function of the layout design Example: What is the failure rate of a single via vs. double? What is the probability of a short in two metal lines if there are lots of vias underneath them To do this, we need process characterization

17 Process Yield Loss Mechanisms Mx V2 V2 M2 V1 M1 C Poly Active M2 V1 M1 C Poly Yield Loss Mechanisms Layer defect densities Attribute dependent failure rates Lithography / CMP driven interactions Yield Loss Mechanisms (YLM s( YLM s) Root causes of process related yield loss Each YLM must be characterized in the process with a specific test structure Characterization Vehicle (CV)

18 Typical Die Yield Estimation Die Yield 100% 80% 60% 40% Die Yield Model Area = 2 cm^2 Area = 1.5 cm^2 Area = 1 cm^2 Area = 0.5 cm^2 D A Y = e 0 where, Y = die yield D 0 = mean defects (1/cm 2 ) 20% A = die area (cm 2 ) 0% Mean Defectivity (1/cm^2) Model implies that for a given die size, yield is based only upon process maturity this is not correct Yield is different for different IP content Process defectivity is different for each module

19 Process-Design Interaction Yield 55% 45% 35% 25% Die Content Impact on Yield (~40mm^2 Die Size) Total Yield (130nm) Total Yield (90nm) Ratio << 1.0 Ratio >> 1.0 Memory Logic Memory Logic 15% 5% Memory/Logic Ratio Y = Y MEMORY Y LOGIC Chip Yield is a strong function of design content Physical design features interact with specific module weakness

20 Accurate Process Characterization Metal Opens/Shorts Characterization Vehicle Defect Size Distribution by Process Module Poly and Active Opens/Shorts Characterization Vehicle Defect Density [Defects/cm^2] Defect Size [um] DSD(x) = defect size/count distribution

21 Critical Area/DSD Model Crtical Area (microns^2) 2.00E E E E E E E E E E E+00 Layout Attribute: Critical Area Y Defect Size (microns) Yield Model: = e CA x= 0 () () x DSD x dx Process Model: Defect size Distribution Custom: (Inspection data based) P, D0, x0 based: Defect Density X 0 DSD D0*Ac(p) x k DSD 0 x k = ( x) = D p ( ) ( p p 1 x 1) CA(x) 0

22 Product Design Attributes I/O SRAM ASIC Logic ROM Custom Logic Analog Design Attributes Widths, lengths and spacing Counts Densities Overlaps/enclosures Design Attributes: Physical design properties that interact with specific module marginalities Each attribute can be extracted from physical layout Design attribute extraction (DAE) enables quantification of design content specific YLM models

23 Design Attribute Extraction Contacts/Via Counts N/P Active Poly V1-Vx Critical Area Shorts Sensitivities AA Poly M1-Mx Contact/Via Count Design Attribute Extraction Critical Area Shorts Design Attribute Extraction Count Critical Area [um^2] Contacts and Vias A C (x) = Defect Diameter [um] design attribute sensitivity to x

24 Accurate Process Characterization Layout Metric DOE on litho parameters RSM of layout metric Mask Error Exposure Defocus Misalignment Misalignment Exposure Process Margin Defocus 3.0 σ 0.0 σ 0.5 σ 1.0 σ 1.5 σ 2.0 σ 2.5 σ 0.5 σ 1.0 σ 1.5 σ 2.0 σ 2.5 σ 3.0 σ Yield p(spacing) INPUT: Characterization of litho process statistics Yield Loss Spacing

25 Implicit YLM model: process window effects PSD Analysis Process Margin data from CV layer = NAA doe PSD: condition 1 PSD: condition2 Yield (conf.level = 0.95) NAA_0.11/0.16 NAA_0.115/0.155 NAA_0.12/0.15 NAA_0.125/0.145 NAA_0.13/0.14 NAA_0.135/0.135 PAA_0

26 Yield Simulation Results: Yield Impact Matrix I/O YIMP Matrix Limited Yield SRAM ASIC Logic ROM Custom Logic Analog Design Attributes Widths, lengths and spacing Counts Densities Overlaps/enclosures Random Defects Systematic Failure Mode Full Chip SRAM Active Random 98% 99% 99% Pattern Dependent 99% 99% 100% Total 97% 98% 99% Poly Random 97% 98% 99% Pattern dependent 94% 95% 99% Total 91% 95% 96% Metal Random 97% 98% 99% Pattern dependent 97% 98% 99% Total 94% 95% 99% Holes 97% 98% 99% Total 81% 82% 99% Metal Islands 87% 89% 90% Pattern Density 91% 93% 94% Narrow Space Wide Neighbor 97% 99% 100% Via induced Metal Shorts 77% 78% 79% Total 62% 64% 64% Physical design properties that interact with specific module defectivities Each attribute can be extracted from GDSII Design attribute extraction (DAE) enables quantification of die content specific yield models LOGIC

27 Yield Simulation Accuracy 100% Accuracy of Yield Prediction 4% Yield 80% 60% 40% 20% 2% 0% -2% -4% -6% -8% Absolute Error 0% A B C D E F Product -10% Predicted Actual Abs. Error Yield modeling accuracy is excellent when you characterize right Error represents unidentified yield loss mechanisms or lack of yield model

28 Overview of Layout Design for Manufacturability Critical Area Based Wire Spreading Most useful in Al interconnect era (random metal shorts dominant yield loss mechanism) Contact/via Doubling Effective in reduction yield losses due to random hole opens (Al & Cu) Systematic Yield Model Based Local and Global P&R Layout Modifications Essential for 3-D topography effects due to Cu CMP and low-k interconnect Printability and Performance Variability Driven Layout Generation Absolute must in the Nanometer Era Pro-active (not afterthought in RET)

29 Trade Off Among Yield Loss Mechanisms Layout A Layout B Trade Offs Contact Contact redundancy Poly Poly corner corner rounding rounding Poly Poly contact contact redundancy Poly Poly spacing spacing

30 Parametric Yield Optimization Product Product Blocks Blocks Statistical Statistical Device Device Models Models Parametric Yield Verification Response Surface Modeling (RSM) for accurate parametric yield estimation Circuit Surfer Surfer Process/Design Sensitivity YIELD (% ) OPAMP DIFFERENTIAL PAIR AREA VS. PARAMETRIC YIELD AREA FACTOR Parametric Yield Modeling Design parameter optimization Design Optimization Circuit Surfer maps process and design effects to circuit performances Design or process parameter changes to optimize yield Process window characterization Y Optimized Design Optimized Design Parameters Parameters Verified Parametric Verified Parametric Yield Yield

31 Limited Yield Optimization LY(SRAM) LY(ASIC) LY(I/O) LY(ROM) LY(Custom) LY(Analog) LY GAIN v v ( Yj ) ( Y ) where, j = Random, Systematic, Parametric 1 2 = j j = optimized variant = original variant v v 1 2 Product yields must be optimized by improving LY s LY GAIN ratio of improvement for any specific optimization Prioritizing which LY s to improve requires an understanding of the specific Design Manufacturability Objectives

32 Design Manufacturability Objectives Best Yield Variability Best Average Yield Objectives Average Yield Products DVD, STB, Cell Phone, Digital Cameras # of lots Yield Variability Networking, Graphics, DSP 10 Performance Variability Microprocessors 0 40% 60% 80% 100% Yield Custom Tailored specifically for a process and product Customization of DFM objectives High volume parts in mature process Lower volume parts during ramp

33 Enabling Proactive DFM For Designers Design Flow RTL Design VERIFICATION Hierarchical Floorplan Physical Synthesis DFM Software Yield Simulation and optimization Yield View (.pdfm) Yield Models DFM Optimized Library Module Chip Assembly Sign-off Standard IP Platform Three components to enable Proactive DFM DFM library module Layout attribute dependent yield models (DFM library view) Yield simulation and optimization software

34 DFM Variant Generation Flow DFM Architecture Specifications Average Yield Yield Yield Yield Variability Performance Variability Netlist Netlist Constraint generation Layout Design Cell Cell Layouts Manufacturability Hot Hot Spot Spot Localization MFG MFG Characterization Pruning DFM Library No Hot Hot Spots Spots Minimized? Yes

35 Yield Variants Example High Density Random/Systematic Yield Variant +20% area -50% FR (ppb) Litho hot spots M1 opens +40% area -50% FR (ppb) Yield/Speed Consistency Variant -85% YV (std.dev) M1 shorts

36 Standard Cell Optimization Flow Original Netlist MOS1 d g s b nmos L=0.13u W=1u MOS2 d g s b pmos L=0.13u W=0.8u MOS3 d g s b nmos L=0.13u W=0.7u MOS4 d g s b pmos L=0.13u W=1.2u MOS5 d g s b nmos L=0.13u W=1.3u MOS6 d g s b pmos L=0.13u W=1u 0.2u Cell Architecture Contact redundancy definition Spacing rules definition Transitions rules definition Metal islands definition Taps Hot Spot Analysis Optimized Layout 0.14u 0.16u 0.18u Synthesized Layout Litho Environment Litho Simulated Layout Optimized Layout

37 DFM Library View for EDA DFM Library Module Core Libraries Process Characterization.pdfm view includes relevant data to enable EDA tools to make yield tradeoffs Process Defectivities Design Attributes Yield and Manufacturability Models Design Attribute Extractions Defectivities.pdfm data also enables BEOL routing optimzation Compiler DFM Library View Characteristic Layout Schematic P&R Footprint Performance Logic Function Manufacturability Library View GDSII SPICE Netlist LEF.lib Verilog.pdfm

38 pdfx enabled P&R.PDFM STD.CELL YLM models STD.CELL YLM models BEOL YLM models BEOL YLM models Stat. Gate Models CMP/Litho Models Logic/Physical Synthesis Clock routing Global/ Detailed Routing Timing Sign-off Post-Routing Optimization Include yield in the cost function Take advantage of design slacks Timing convergence properties unchanged

39 And the Silicon Says Fabless Graphics chip Early process lifecycle IDM Graphics Mature process GDPW Improvement (%) IDM Controller chip Mid-process lifecycle Percentage GDPW Improvement 12% 10% 8% 6% 4% 2% 0% A (0.15um) B (0.13um) C (0.13um) D (0.13um) Chip / Technology IDM Cell phone chip Mid process lifecycle E (0.13um) IDM Set top box Mid-process lifecycle Silicon shows up to 12% GDPW improvement by applying our Proactive DFM methodology

40 Looking Into the Future: Extreme Layout Regularity RETs are time-consuming and difficult to optimize for large process windows Defocus, Illumination conditions, Pattern neighborhood Sensitive to grow due to defocus Sensitive to shrink due to defocus Sensitive to exposure variation Sensitive to resist effects Conventional design rules are becoming insufficient to guarantee design s adherence to RETs Increasing need for more geometry regularity by design

41 Regular Logic Bricks Arrays offer advantages for silicon characterization and even inventory, but at a high area penalty Regular Logic Bricks can offer more efficient use of area, and still provide the required regularity Map a simple set of logic primitives onto regular fabric patterns to form logic bricks

42 Some Experimental Results Little area benefit for using more than 10 unique bricks Few unique bricks allows for macro regularity and silicon validation analogous to CLBs and bit cells Trade-off between number of geometry patterns and area/performance Ideally, regular fabric synthesis tool would determine this for each design in an applicationspecific manner Area Estimate Area Estimate Firewire Controller Number of Bricks Koggstone Area Area No. of vias No. of vias Avg. no. of Vias per Brick Avg. no. of Vias per Brick Number of Bricks

43 Ultimate Goal From synthesis we define the set of regular logic bricks that cover the extracted logic modules From the fabrics level, perform shapes-level physicalsynthesis to construct configurable bricks Nano-scale constraints allow us to simplify this shapes-level physical synthesis problem Can be optimized with simulationbased printability modeling Automated layout

44 Full SOC Solution Global effects and system-level issues Memory and logic compatibility Regular BEOL structured routing Analog components Cu thickness distribution Required length, on-grid metal tracks WLAN WCDMA GPS Filler metal tracks

Tutorial on Design For Manufacturability for Physical Design

Tutorial on Design For Manufacturability for Physical Design Tutorial on Design For Manufacturability for Physical Design Andrzej J. Strojwas PDF Solutions Inc., San Jose, CA & Carnegie Mellon University, Pittsburgh, PA 2005 ISPD, San Francisco, CA April 5, 2005

More information

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 Addressable Test Chip Technology for IC Design and Manufacturing Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 IC Design & Manufacturing Trends Both logic and memory

More information

Regularity for Reduced Variability

Regularity for Reduced Variability Regularity for Reduced Variability Larry Pileggi Carnegie Mellon pileggi@ece.cmu.edu 28 July 2006 CMU Collaborators Andrzej Strojwas Slava Rovner Tejas Jhaveri Thiago Hersan Kim Yaw Tong Sandeep Gupta

More information

Physical stuff (20 mins) C2S2 Workshop 7/28/06

Physical stuff (20 mins) C2S2 Workshop 7/28/06 Physical stuff (20 mins) C2S2 Workshop 7/28/06 Clive Bittlestone TI Fellow Nagaraj NS DMTS, Roger Griesmer SMTS Carl Vickery SMTS Gopalarao Kadamati MGTS Texas Instruments Texas Instruments 2004,2005,2006

More information

CMP Model Application in RC and Timing Extraction Flow

CMP Model Application in RC and Timing Extraction Flow INVENTIVE CMP Model Application in RC and Timing Extraction Flow Hongmei Liao*, Li Song +, Nickhil Jakadtar +, Taber Smith + * Qualcomm Inc. San Diego, CA 92121 + Cadence Design Systems, Inc. San Jose,

More information

ASIC, Customer-Owned Tooling, and Processor Design

ASIC, Customer-Owned Tooling, and Processor Design ASIC, Customer-Owned Tooling, and Processor Design Design Style Myths That Lead EDA Astray Nancy Nettleton Manager, VLSI ASIC Device Engineering April 2000 Design Style Myths COT is a design style that

More information

Low k 1 Logic Design using Gridded Design Rules

Low k 1 Logic Design using Gridded Design Rules SPIE Advanced Lithography Conference 2008 6925-68 Tela Innovations, ASML 1 Low k 1 Logic Design using Gridded Design Rules Michael C. Smayling a, Hua-yu Liu b, Lynn Cai b a Tela Innovations, Inc., 655

More information

Design Rule Optimization of Regular layout for Leakage Reduction in Nanoscale Design

Design Rule Optimization of Regular layout for Leakage Reduction in Nanoscale Design Design Rule Optimization of Regular layout for Leakage Reduction in Nanoscale Design Anupama R. Subramaniam, Ritu Singhal, Chi-Chao Wang, Yu Cao Department of Electrical Engineering, Arizona State University,

More information

Manufacturing Challenges and their Implications on Design

Manufacturing Challenges and their Implications on Design Manufacturing Challenges and their Implications on Design Phiroze Parakh, Ph.D 45nm/32nm Design Challenges MANUFACTURING VARIATIONS PROCESS & DESIGN VARIATIONS LARGE DESIGNS LOW POWER The Evolution of

More information

Will Silicon Proof Stay the Only Way to Verify Analog Circuits?

Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Pierre Dautriche Jean-Paul Morin Advanced CMOS and analog. Embedded analog Embedded RF 0.5 um 0.18um 65nm 28nm FDSOI 0.25um 0.13um 45nm 1997

More information

James Lin Vice President, Technology Infrastructure Group National Semiconductor Corporation CODES + ISSS 2003 October 3rd, 2003

James Lin Vice President, Technology Infrastructure Group National Semiconductor Corporation CODES + ISSS 2003 October 3rd, 2003 Challenges for SoC Design in Very Deep Submicron Technologies James Lin Vice President, Technology Infrastructure Group National Semiconductor Corporation CODES + ISSS 2003 October 3rd, 2003 1 Contents

More information

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends EE4 - Spring 008 Advanced Digital Integrated Circuits Lecture : Scaling Trends Announcements No office hour next Monday Extra office hours Tuesday and Thursday -3pm CMOS Scaling Rules Voltage, V / α tox/α

More information

Physical Verification Challenges and Solution for 45nm and Beyond. Haifang Liao Celesda Design Solutions, Inc.

Physical Verification Challenges and Solution for 45nm and Beyond. Haifang Liao Celesda Design Solutions, Inc. Physical Verification Challenges and Solution for 45nm and Beyond Haifang Liao Celesda Design Solutions, Inc. Nanometer Design Era Semiconductor feature size has been shrunk 500x in 40 years Space for

More information

Enabling DFM Flow Peter Rabkin Xilinx, Inc.

Enabling DFM Flow Peter Rabkin Xilinx, Inc. Enabling DFM Flow Peter Rabkin Xilinx, Inc. Open DFM Workshop San Jose CA v 9, 2006 2006 All Rights Reserved Fabless Litho-DFM Requirements Design Tolerance Req s Systematic & automated litho compliance

More information

Lithography Simulation-Based Full-Chip Design Analyses

Lithography Simulation-Based Full-Chip Design Analyses Lithography Simulation-Based Full-Chip Design Analyses Puneet Gupta a, Andrew B. Kahng a, Sam Nakagawa a,saumilshah b and Puneet Sharma c a Blaze DFM, Inc., Sunnyvale, CA; b University of Michigan, Ann

More information

Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry. Dr. Thomas de Paly

Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry. Dr. Thomas de Paly Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry Dr. Thomas de Paly October 06, 2009 Opportunity Meets Vision Vision To be the first truly global semiconductor foundry,

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION Rapid advances in integrated circuit technology have made it possible to fabricate digital circuits with large number of devices on a single chip. The advantages of integrated circuits

More information

Advanced multi-patterning and hybrid lithography techniques. Fedor G Pikus, J. Andres Torres

Advanced multi-patterning and hybrid lithography techniques. Fedor G Pikus, J. Andres Torres Advanced multi-patterning and hybrid lithography techniques Fedor G Pikus, J. Andres Torres Outline Need for advanced patterning technologies Multipatterning (MP) technologies What is multipatterning?

More information

Design Methodologies and Tools. Full-Custom Design

Design Methodologies and Tools. Full-Custom Design Design Methodologies and Tools Design styles Full-custom design Standard-cell design Programmable logic Gate arrays and field-programmable gate arrays (FPGAs) Sea of gates System-on-a-chip (embedded cores)

More information

SYNTHESIS FOR ADVANCED NODES

SYNTHESIS FOR ADVANCED NODES SYNTHESIS FOR ADVANCED NODES Abhijeet Chakraborty Janet Olson SYNOPSYS, INC ISPD 2012 Synopsys 2012 1 ISPD 2012 Outline Logic Synthesis Evolution Technology and Market Trends The Interconnect Challenge

More information

2. TOPOLOGICAL PATTERN ANALYSIS

2. TOPOLOGICAL PATTERN ANALYSIS Methodology for analyzing and quantifying design style changes and complexity using topological patterns Jason P. Cain a, Ya-Chieh Lai b, Frank Gennari b, Jason Sweis b a Advanced Micro Devices, 7171 Southwest

More information

ECE260B CSE241A Winter Tapeout. Website:

ECE260B CSE241A Winter Tapeout. Website: ECE260B CSE241A Winter 2007 Tapeout Website: http://vlsicad.ucsd.edu/courses/ece260b-w07 ECE 260B CSE 241A Tapeout 1 Tapeout definition What is the definition of the tapeout? There is no standard definition

More information

A New Methodology for Interconnect Parasitic Extraction Considering Photo-Lithography Effects

A New Methodology for Interconnect Parasitic Extraction Considering Photo-Lithography Effects A New Methodology for Interconnect Parasitic Extraction Considering Photo-Lithography Effects Ying Zhou, Yuxin Tian, Weiping Shi Texas A&M University Zhuo Li Pextra Corporation Frank Liu IBM Austin Research

More information

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies Design Solutions in Foundry Environment by Michael Rubin Agilent Technologies Presenter: Michael Rubin RFIC Engineer, R&D, Agilent Technologies former EDA Engineering Manager Agilent assignee at Chartered

More information

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP Virtuoso Custom Design Platform GL The Cadence Virtuoso custom design platform is the industry s leading design system for complete front-to-back analog, RF, mixed-signal, and custom digital design. The

More information

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 1: Introduction to VLSI Technology Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Course Objectives

More information

Xilinx SSI Technology Concept to Silicon Development Overview

Xilinx SSI Technology Concept to Silicon Development Overview Xilinx SSI Technology Concept to Silicon Development Overview Shankar Lakka Aug 27 th, 2012 Agenda Economic Drivers and Technical Challenges Xilinx SSI Technology, Power, Performance SSI Development Overview

More information

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia Lecture 4a CMOS Fabrication, Layout and Simulation R. Saleh Dept. of ECE University of British Columbia res@ece.ubc.ca 1 Fabrication Fabrication is the process used to create devices and wires. Transistors

More information

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends EE24 - Spring 2008 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends Announcements No office hour next Monday Extra office hours Tuesday and Thursday 2-3pm 2 CMOS Scaling Rules Voltage, V

More information

Spiral 2-8. Cell Layout

Spiral 2-8. Cell Layout 2-8.1 Spiral 2-8 Cell Layout 2-8.2 Learning Outcomes I understand how a digital circuit is composed of layers of materials forming transistors and wires I understand how each layer is expressed as geometric

More information

Design Methodologies. Full-Custom Design

Design Methodologies. Full-Custom Design Design Methodologies Design styles Full-custom design Standard-cell design Programmable logic Gate arrays and field-programmable gate arrays (FPGAs) Sea of gates System-on-a-chip (embedded cores) Design

More information

ASIC design flow considering lithography-induced effects

ASIC design flow considering lithography-induced effects DESIGN FOR MANUFACTURABILITY ASIC design flow considering lithography-induced effects K. Cao and J. Hu Abstract: As VLSI technology scales towards 65 nm and beyond, both timing and power performance of

More information

Taming the Challenges of 20nm Custom/Analog Design

Taming the Challenges of 20nm Custom/Analog Design Taming the Challenges of 20nm Custom/Analog Design Custom and analog designers will lay the foundation for 20nm IC design. However, they face many challenges that arise from manufacturing complexity. The

More information

Exploring Logic Block Granularity for Regular Fabrics

Exploring Logic Block Granularity for Regular Fabrics 1530-1591/04 $20.00 (c) 2004 IEEE Exploring Logic Block Granularity for Regular Fabrics A. Koorapaty, V. Kheterpal, P. Gopalakrishnan, M. Fu, L. Pileggi {aneeshk, vkheterp, pgopalak, mfu, pileggi}@ece.cmu.edu

More information

Comprehensive Place-and-Route Platform Olympus-SoC

Comprehensive Place-and-Route Platform Olympus-SoC Comprehensive Place-and-Route Platform Olympus-SoC Digital IC Design D A T A S H E E T BENEFITS: Olympus-SoC is a comprehensive netlist-to-gdsii physical design implementation platform. Solving Advanced

More information

EE5780 Advanced VLSI CAD

EE5780 Advanced VLSI CAD EE5780 Advanced VLSI CAD Lecture 1 Introduction Zhuo Feng 1.1 Prof. Zhuo Feng Office: EERC 513 Phone: 487-3116 Email: zhuofeng@mtu.edu Class Website http://www.ece.mtu.edu/~zhuofeng/ee5780fall2013.html

More information

ECE 637 Integrated VLSI Circuits. Introduction. Introduction EE141

ECE 637 Integrated VLSI Circuits. Introduction. Introduction EE141 ECE 637 Integrated VLSI Circuits Introduction EE141 1 Introduction Course Details Instructor Mohab Anis; manis@vlsi.uwaterloo.ca Text Digital Integrated Circuits, Jan Rabaey, Prentice Hall, 2 nd edition

More information

Common Platform Ecosystem Enablement

Common Platform Ecosystem Enablement Joe Abler Common Platform Ecosystem Enablement IBM provides a complete Foundry solution Innovative technology Leadership road map with advanced SiGe & RF offerings Leading-edge CMOS process development

More information

An Overview of Standard Cell Based Digital VLSI Design

An Overview of Standard Cell Based Digital VLSI Design An Overview of Standard Cell Based Digital VLSI Design With examples taken from the implementation of the 36-core AsAP1 chip and the 1000-core KiloCore chip Zhiyi Yu, Tinoosh Mohsenin, Aaron Stillmaker,

More information

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape Edition April 2017 Semiconductor technology & processing 3D systems-on-chip A clever partitioning of circuits to improve area, cost, power and performance. In recent years, the technology of 3D integration

More information

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation Datasheet Create a Better Starting Point for Faster Physical Implementation Overview Continuing the trend of delivering innovative synthesis technology, Design Compiler Graphical streamlines the flow for

More information

Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design

Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design Wei-Jin Dai, Dennis Huang, Chin-Chih Chang, Michel Courtoy Cadence Design Systems, Inc. Abstract A design methodology for the implementation

More information

TABLE OF CONTENTS III. Section 1. Executive Summary

TABLE OF CONTENTS III. Section 1. Executive Summary Section 1. Executive Summary... 1-1 Section 2. Global IC Industry Outlook and Cycles... 2-1 IC Insights' Forecast Methodology... 2-1 Overview... 2-1 Worldwide GDP... 2-1 Electronic System Sales... 2-2

More information

Eliminating Routing Congestion Issues with Logic Synthesis

Eliminating Routing Congestion Issues with Logic Synthesis Eliminating Routing Congestion Issues with Logic Synthesis By Mike Clarke, Diego Hammerschlag, Matt Rardon, and Ankush Sood Routing congestion, which results when too many routes need to go through an

More information

COE 561 Digital System Design & Synthesis Introduction

COE 561 Digital System Design & Synthesis Introduction 1 COE 561 Digital System Design & Synthesis Introduction Dr. Aiman H. El-Maleh Computer Engineering Department King Fahd University of Petroleum & Minerals Outline Course Topics Microelectronics Design

More information

Cluster-based approach eases clock tree synthesis

Cluster-based approach eases clock tree synthesis Page 1 of 5 EE Times: Design News Cluster-based approach eases clock tree synthesis Udhaya Kumar (11/14/2005 9:00 AM EST) URL: http://www.eetimes.com/showarticle.jhtml?articleid=173601961 Clock network

More information

Design Methodologies

Design Methodologies Design Methodologies 1981 1983 1985 1987 1989 1991 1993 1995 1997 1999 2001 2003 2005 2007 2009 Complexity Productivity (K) Trans./Staff - Mo. Productivity Trends Logic Transistor per Chip (M) 10,000 0.1

More information

EUV Lithography and Overlay Control

EUV Lithography and Overlay Control YMS Magazine DECEMBER 2017 EUV Lithography and Overlay Control Efi Megged, Mark Wylie and Cathy Perry-Sullivan L A-Tencor Corporation One of the key parameters in IC fabrication is overlay the accuracy

More information

EE-382M VLSI II. Early Design Planning: Front End

EE-382M VLSI II. Early Design Planning: Front End EE-382M VLSI II Early Design Planning: Front End Mark McDermott EE 382M-8 VLSI-2 Page Foil # 1 1 EDP Objectives Get designers thinking about physical implementation while doing the architecture design.

More information

Transistor Flaring in Deep Submicron Design Considerations

Transistor Flaring in Deep Submicron Design Considerations Transistor Flaring in Deep Submicron Design Considerations Vipul Singhal, Keshav C.B., Sumanth K.G., P.R. Suresh Abstract - The deep sub-micron regime has broughtup several manufacturing issues which impact

More information

Directed Self-Assembly for the Semiconductor Industry

Directed Self-Assembly for the Semiconductor Industry Directed Self-Assembly for the Semiconductor Industry H.-S. Philip Wong, Chris Bencher # Linda He Yi, Xin-Yu Bao, Li-Wen Chang Stanford University, # Applied Materials Stanford University J.W. Jeong...C.A.

More information

Microelettronica. J. M. Rabaey, "Digital integrated circuits: a design perspective" EE141 Microelettronica

Microelettronica. J. M. Rabaey, Digital integrated circuits: a design perspective EE141 Microelettronica Microelettronica J. M. Rabaey, "Digital integrated circuits: a design perspective" Introduction Why is designing digital ICs different today than it was before? Will it change in future? The First Computer

More information

Introduction. Summary. Why computer architecture? Technology trends Cost issues

Introduction. Summary. Why computer architecture? Technology trends Cost issues Introduction 1 Summary Why computer architecture? Technology trends Cost issues 2 1 Computer architecture? Computer Architecture refers to the attributes of a system visible to a programmer (that have

More information

Stacked Silicon Interconnect Technology (SSIT)

Stacked Silicon Interconnect Technology (SSIT) Stacked Silicon Interconnect Technology (SSIT) Suresh Ramalingam Xilinx Inc. MEPTEC, January 12, 2011 Agenda Background and Motivation Stacked Silicon Interconnect Technology Summary Background and Motivation

More information

Taurus-Process. Multidimensional Process Simulation SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD

Taurus-Process. Multidimensional Process Simulation SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD Aurora DFM WorkBench Davinci Medici Raphael Raphael-NES Silicon Early Access TSUPREM-4 Taurus-Device Taurus-Lithography

More information

Advanced Timing Analysis Based on Post-OPC Extraction of Critical Dimensions

Advanced Timing Analysis Based on Post-OPC Extraction of Critical Dimensions Advanced Timing Analysis Based on Post-OPC Extraction of Critical Dimensions Jie Yang, Luigi Capodieci and Dennis Sylvester Advanced Micro Devices, 1 AMD Pl., Sunnyvale, CA 9486 EECS Dept., University

More information

More Course Information

More Course Information More Course Information Labs and lectures are both important Labs: cover more on hands-on design/tool/flow issues Lectures: important in terms of basic concepts and fundamentals Do well in labs Do well

More information

Digital Design Methodology (Revisited) Design Methodology: Big Picture

Digital Design Methodology (Revisited) Design Methodology: Big Picture Digital Design Methodology (Revisited) Design Methodology Design Specification Verification Synthesis Technology Options Full Custom VLSI Standard Cell ASIC FPGA CS 150 Fall 2005 - Lec #25 Design Methodology

More information

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Digital Integrated Circuits A Design Perspective Jan M. Rabaey Outline (approximate) Introduction and Motivation The VLSI Design Process Details of the MOS Transistor Device Fabrication Design Rules CMOS

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 8(2) I DDQ Current Testing (Chapter 13) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Learning aims Describe the

More information

Using ASIC circuits. What is ASIC. ASIC examples ASIC types and selection ASIC costs ASIC purchasing Trends in IC technologies

Using ASIC circuits. What is ASIC. ASIC examples ASIC types and selection ASIC costs ASIC purchasing Trends in IC technologies Using ASIC circuits What is this machine? ASIC examples ASIC types and selection ASIC ASIC purchasing Trends in IC technologies 9.3.2004 Turo Piila 1 9.3.2004 Turo Piila 2 What is ASIC Floorplan and layout

More information

FPGA Power Management and Modeling Techniques

FPGA Power Management and Modeling Techniques FPGA Power Management and Modeling Techniques WP-01044-2.0 White Paper This white paper discusses the major challenges associated with accurately predicting power consumption in FPGAs, namely, obtaining

More information

Digital Design Methodology

Digital Design Methodology Digital Design Methodology Prof. Soo-Ik Chae Digital System Designs and Practices Using Verilog HDL and FPGAs @ 2008, John Wiley 1-1 Digital Design Methodology (Added) Design Methodology Design Specification

More information

Technology Platform Segmentation

Technology Platform Segmentation HOW TECHNOLOGY R&D LEADERSHIP BRINGS A COMPETITIVE ADVANTAGE FOR MULTIMEDIA CONVERGENCE Technology Platform Segmentation HP LP 2 1 Technology Platform KPIs Performance Design simplicity Power leakage Cost

More information

Pattern-based analytics to estimate and track yield risk of designs down to 7nm

Pattern-based analytics to estimate and track yield risk of designs down to 7nm DAC 2017 Pattern-based analytics to estimate and track yield risk of designs down to 7nm JASON CAIN, MOUTAZ FAKHRY (AMD) PIYUSH PATHAK, JASON SWEIS, PHILIPPE HURAT, YA-CHIEH LAI (CADENCE) INTRODUCTION

More information

A Framework for Systematic Evaluation and Exploration of Design Rules

A Framework for Systematic Evaluation and Exploration of Design Rules A Framework for Systematic Evaluation and Exploration of Design Rules Rani S. Ghaida* and Prof. Puneet Gupta EE Dept., University of California, Los Angeles (rani@ee.ucla.edu), (puneet@ee.ucla.edu) Work

More information

On GPU Bus Power Reduction with 3D IC Technologies

On GPU Bus Power Reduction with 3D IC Technologies On GPU Bus Power Reduction with 3D Technologies Young-Joon Lee and Sung Kyu Lim School of ECE, Georgia Institute of Technology, Atlanta, Georgia, USA yjlee@gatech.edu, limsk@ece.gatech.edu Abstract The

More information

THERMAL EXPLORATION AND SIGN-OFF ANALYSIS FOR ADVANCED 3D INTEGRATION

THERMAL EXPLORATION AND SIGN-OFF ANALYSIS FOR ADVANCED 3D INTEGRATION THERMAL EXPLORATION AND SIGN-OFF ANALYSIS FOR ADVANCED 3D INTEGRATION Cristiano Santos 1, Pascal Vivet 1, Lee Wang 2, Michael White 2, Alexandre Arriordaz 3 DAC Designer Track 2017 Pascal Vivet Jun/2017

More information

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation Course Goals Lab Understand key components in VLSI designs Become familiar with design tools (Cadence) Understand design flows Understand behavioral, structural, and physical specifications Be able to

More information

Statistical Modeling for Monte Carlo Simulation using Hspice

Statistical Modeling for Monte Carlo Simulation using Hspice Statistical Modeling for Monte Carlo Simulation using Hspice Kerwin Khu Chartered Semiconductor Manufacturing Ltd khukerwin@charteredsemi.com ABSTRACT With today's stringent design margins, designers can

More information

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis I NVENTIVE Physical Design Implementation for 3D IC Methodology and Tools Dave Noice Vassilios Gerousis Outline 3D IC Physical components Modeling 3D IC Stack Configuration Physical Design With TSV Summary

More information

Vdd Programmable and Variation Tolerant FPGA Circuits and Architectures

Vdd Programmable and Variation Tolerant FPGA Circuits and Architectures Vdd Programmable and Variation Tolerant FPGA Circuits and Architectures Prof. Lei He EE Department, UCLA LHE@ee.ucla.edu Partially supported by NSF. Pathway to Power Efficiency and Variation Tolerance

More information

Tackling Electrical Variability in Advanced CMOS Technologies

Tackling Electrical Variability in Advanced CMOS Technologies Tackling Electrical Variability in Advanced CMOS Technologies Xi-Wei Lin xiwei@synopsys.com IMPACT Webinar, May 15, 2009, UC Berkeley 1 Outline Introduction Modeling Considerations Tackling Variability

More information

Advanced Flash Technology Status, Scaling Trends & Implications to Enterprise SSD Technology Enablement

Advanced Flash Technology Status, Scaling Trends & Implications to Enterprise SSD Technology Enablement Advanced Flash Technology Status, Scaling Trends & Implications to Enterprise SSD Technology Enablement Jung H. Yoon & Gary A. Tressler IBM Corporation Aug 21, 2012 Santa Clara, CA 1 Outline Si Technology

More information

EITF35: Introduction to Structured VLSI Design

EITF35: Introduction to Structured VLSI Design EITF35: Introduction to Structured VLSI Design Part 1.1.2: Introduction (Digital VLSI Systems) Liang Liu liang.liu@eit.lth.se 1 Outline Why Digital? History & Roadmap Device Technology & Platforms System

More information

EE434 ASIC & Digital Systems Testing

EE434 ASIC & Digital Systems Testing EE434 ASIC & Digital Systems Testing Spring 2015 Dae Hyun Kim daehyun@eecs.wsu.edu 1 Introduction VLSI realization process Verification and test Ideal and real tests Costs of testing Roles of testing A

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

Enhanced Layout Optimization of Sub-45nm Standard, Memory Cells and Its Effects

Enhanced Layout Optimization of Sub-45nm Standard, Memory Cells and Its Effects Enhanced Layout Optimization of Sub-45nm Standard, Memory Cells and Its Effects Seung Weon Paek*, Dae Hyun Jang*, Joo Hyun Park*, Naya Ha*, Byung-Moo Kim*, Hyo Sig Won*, Kyu-Myung Choi*, Kuang-Kuo Lin

More information

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 TABLE OF CONTENTS 1.0 PURPOSE... 1 2.0 INTRODUCTION... 1 3.0 ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 3.1 PRODUCT DEFINITION PHASE... 3 3.2 CHIP ARCHITECTURE PHASE... 4 3.3 MODULE AND FULL IC DESIGN PHASE...

More information

FABRICATION TECHNOLOGIES

FABRICATION TECHNOLOGIES FABRICATION TECHNOLOGIES DSP Processor Design Approaches Full custom Standard cell** higher performance lower energy (power) lower per-part cost Gate array* FPGA* Programmable DSP Programmable general

More information

TSV Test. Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea

TSV Test. Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea TSV Test Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea # Agenda TSV Test Issues Reliability and Burn-in High Frequency Test at Probe (HFTAP) TSV Probing Issues DFT Opportunities

More information

Concurrent, OA-based Mixed-signal Implementation

Concurrent, OA-based Mixed-signal Implementation Concurrent, OA-based Mixed-signal Implementation Mladen Nizic Eng. Director, Mixed-signal Solution 2011, Cadence Design Systems, Inc. All rights reserved worldwide. Mixed-Signal Design Challenges Traditional

More information

Leakage Mitigation Techniques in Smartphone SoCs

Leakage Mitigation Techniques in Smartphone SoCs Leakage Mitigation Techniques in Smartphone SoCs 1 John Redmond 1 Broadcom International Symposium on Low Power Electronics and Design Smartphone Use Cases Power Device Convergence Diverse Use Cases Camera

More information

Technology and Manufacturing

Technology and Manufacturing Technology and Manufacturing Executive Vice President Field Trip 2006 - London, May 23rd Field Trip 2006 - London, May 23rd Technology Technology Development Centers and Main Programs CMOS Logic Platform

More information

Power dissipation! The VLSI Interconnect Challenge. Interconnect is the crux of the problem. Interconnect is the crux of the problem.

Power dissipation! The VLSI Interconnect Challenge. Interconnect is the crux of the problem. Interconnect is the crux of the problem. The VLSI Interconnect Challenge Avinoam Kolodny Electrical Engineering Department Technion Israel Institute of Technology VLSI Challenges System complexity Performance Tolerance to digital noise and faults

More information

Linking Layout to Logic Synthesis: A Unification-Based Approach

Linking Layout to Logic Synthesis: A Unification-Based Approach Linking Layout to Logic Synthesis: A Unification-Based Approach Massoud Pedram Department of EE-Systems University of Southern California Los Angeles, CA February 1998 Outline Introduction Technology and

More information

Power, Performance and Area Implementation Analysis.

Power, Performance and Area Implementation Analysis. ARM Cortex -R Series: Power, Performance and Area Implementation Analysis. Authors: Neil Werdmuller and Jatin Mistry, September 2014. Summary: Power, Performance and Area (PPA) implementation analysis

More information

Brief Introduction of Cell-based Design. Ching-Da Chan CIC/DSD

Brief Introduction of Cell-based Design. Ching-Da Chan CIC/DSD Brief Introduction of Cell-based Design Ching-Da Chan CIC/DSD 1 Design Abstraction Levels SYSTEM MODULE + GATE CIRCUIT S n+ G DEVICE n+ D 2 Full Custom V.S Cell based Design Full custom design Better patent

More information

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Hardware Design Environments Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Outline Welcome to COE 405 Digital System Design Design Domains and Levels of Abstractions Synthesis

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

Programmable Logic Devices II

Programmable Logic Devices II São José February 2015 Prof. Hoeller, Prof. Moecke (http://www.sj.ifsc.edu.br) 1 / 28 Lecture 01: Complexity Management and the Design of Complex Digital Systems Prof. Arliones Hoeller arliones.hoeller@ifsc.edu.br

More information

A Design Tradeoff Study with Monolithic 3D Integration

A Design Tradeoff Study with Monolithic 3D Integration A Design Tradeoff Study with Monolithic 3D Integration Chang Liu and Sung Kyu Lim Georgia Institute of Techonology Atlanta, Georgia, 3332 Phone: (44) 894-315, Fax: (44) 385-1746 Abstract This paper studies

More information

EEM870 Embedded System and Experiment Lecture 2: Introduction to SoC Design

EEM870 Embedded System and Experiment Lecture 2: Introduction to SoC Design EEM870 Embedded System and Experiment Lecture 2: Introduction to SoC Design Wen-Yen Lin, Ph.D. Department of Electrical Engineering Chang Gung University Email: wylin@mail.cgu.edu.tw March 2013 Agenda

More information

OpenDFM Targeting Functions. Bob Sayah June 6, 2011 IBM Corporation Si2 Targeting Working Group Chair

OpenDFM Targeting Functions. Bob Sayah June 6, 2011 IBM Corporation Si2 Targeting Working Group Chair OpenDFM Targeting Functions Bob Sayah June 6, 2011 IBM Corporation Si2 Targeting Working Group Chair Targeting Design Drawn Shapes Mfg. Targeting Targeting takes the Drawn Shapes provided by the layout

More information

Behavioral modeling of crosswafer chip-to-chip process induced non-uniformity. W. CLARK COVENTOR, Villebon sur Yvette, France

Behavioral modeling of crosswafer chip-to-chip process induced non-uniformity. W. CLARK COVENTOR, Villebon sur Yvette, France Behavioral modeling of crosswafer chip-to-chip process induced non-uniformity W. CLARK COVENTOR, Villebon sur Yvette, France Variability Concerns Variability is a major concern of any semiconductor process

More information

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 09, 2016 ISSN (online): 2321-0613 A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM Yogit

More information

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA 3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA OUTLINE 3D Application Drivers and Roadmap 3D Stacked-IC Technology 3D System-on-Chip: Fine grain partitioning Conclusion

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 2 (p2) Fault Modeling (Chapter 4) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Previous lecture What are the different

More information

Multi-Core Microprocessor Chips: Motivation & Challenges

Multi-Core Microprocessor Chips: Motivation & Challenges Multi-Core Microprocessor Chips: Motivation & Challenges Dileep Bhandarkar, Ph. D. Architect at Large DEG Architecture & Planning Digital Enterprise Group Intel Corporation October 2005 Copyright 2005

More information