ECE260B CSE241A Winter Tapeout. Website:

Size: px
Start display at page:

Download "ECE260B CSE241A Winter Tapeout. Website:"

Transcription

1 ECE260B CSE241A Winter 2007 Tapeout Website: ECE 260B CSE 241A Tapeout 1

2 Tapeout definition What is the definition of the tapeout? There is no standard definition for the tapeout : - Generally speaking the tapeout is associated with the final verification tasks performed before the GDSII/OASIS design database is shipped to the foundry. What are the minimum requirements for a design database to be tapeout? From the foundry prospective: - Can be manufactured : DRC correct - To be functional in the process window. From the design house prospective: - The design to be functional (in spec) across PVT - Can be manufactured: DRC, LVS correct ECE 260B CSE 241A Tapeout 2

3 Tapeout definition Tapeout classification based on design type: Digital tapeout Analog (Mix-Signal/RF) tapeout System on chip: Digital +Analog RF MEMS (Micro-Electro-Mechanical-Systems) Design classification based on system/package type: System on package (SOP) Package on package (POP) Wirebond package Flip-chip package ECE 260B CSE 241A Tapeout 3

4 Tapeout implementation tasks Tapeout implementation tasks: Chip assembly Seal ring generation Chip ID/Revision ID/Hardware version generation (if necessary) GDSII data prep: - Redundant via insertion (if necessary) - Alignment markers insertion - Dummy fill generation (if necessary) - OPC shape enhancer generation (if necessary) Post-tapeout database management tasks: Database archiving Quality metrics generation reports Statistics reports generation ECE 260B CSE 241A Tapeout 4

5 Tapeout implementation tasks Seal ring insertion: All the mask layers used in the design are in the seal ring ECE 260B CSE 241A Tapeout 5

6 Tapeout verification tasks Digital tapeout verification tasks: Equivalence checking signoff Timing/Signal integrity signoff Power signoff Voltage drop signoff Physical verification signoff EMI (Electromagnetic Interference) sign-off for SOC/SOP Yield signoff (Design for manufacturing DFM) Analog tapeout verification tasks: Analog functional signoff Physical verification signoff Noise signoff Yield signoff ECE 260B CSE 241A Tapeout 6

7 Equivalence checking signoff For a digital design we need to verify that the final gate level netlist (verilog, vhdl, cdl, mix-netlist) has the same functionality as the source RTL (vhdl, verilog) netlist. Multiple types of checks must be performed: Equivalence checking Structural checks Cross clock domain checks (CDC) Cross power domain checks DFT insertion checks The equivalence checks can be performed: Bottom up flow: HM s -> Top Top: fully hierarchical RTL Netlist Gate level Final Netlist ECE 260B CSE 241A Tapeout 7

8 Timing/signal integrity signoff Based on STA + SI (Crosstalk, Glitch) tools Two different methodologies: Corner based signoff: - Across PVT guaranty 100% yield for pre-defined performance Speed binning based signoff: - Guaranty functionality across PVT - The parts are binned for performance across process window Functional corners for signoff: Hold time (min delay) : Guaranty functionality Setup time (max delay) : Guaranty performance Corner based timing signoff: Corners + OCV SSTA: Not well defined production flow. ECE 260B CSE 241A Tapeout 8

9 Timing/signal integrity signoff Corner definition: Process corners: - Front end of the line: FF, TT,SS - Back end of the line: Cw, RCw, Nom, Cb, RCb Temperature: -40C, 25C, 125C Voltage: - Min voltage - Nominal voltages: V1, V2, V3, - Max voltage Modes for signoff: Functional 1, Functional 2, Scan 1, Scan2, Modern STA tools perform MM-MC analysis. ECE 260B CSE 241A Tapeout 9

10 Timing/signal integrity signoff The results of the STA analysis are highly dependent on: Timing constraints (SDC as an industry standard) Corner definition Library modeling SPEF, BEOL RC parasitics Crosstalk/Noise engine and tool settings (filters) Voltage drop induced delay Sign off flow Flat - Flat SPEF. Flat netlist. One complete SDC. Hierarchical : - ETM models (Extracted timing models) - ILM models (Interface logic models) ECE 260B CSE 241A Tapeout 10

11 Power signoff In modern UDSM designs the power envelope is the limiting factor for performance Power: Active power: K1 X CV²f Leakage power: - F{P,V,T}, - Multiple components: Sub-threshold, GIDL, DIBL, Gate leakage Power signoff must be performed: To validate the active power budgeting To enable dynamic voltage drop vectorless analysis To guaranty across PVT standby time Different types of power analysis: Vectorless active power analysis: average power VCD based analysis: average power True time VCD analysis : instantaneous power ECE 260B CSE 241A Tapeout 11

12 Power signoff Power analysis: Performed for all the timing corners Performed for the power corners Shares the timing constraints with the STA signoff Multi power modes, multi corner analysis: Validate the clock gating implementation Validate the system power modes Active power prediction correlates well with silicon data. Leakage power prediction must be performed across PVT. The bounds of the leakage power (min, max), correlates with the silicon data, only if the corner spice models and the silicon data correlate. For signoff the nominal process corner is used as reference. ECE 260B CSE 241A Tapeout 12

13 Voltage drop signoff Multiple types of voltage drop analysis: Static IR drop EM (electromigration) signoff Dynamic IR drop: - Vectorless dynamic IR drop - VCD dynamic IR drop - True time VCD dynamic IR Voltage drop signoff with RLC package information. For low power designs: Multi voltage island analysis Dynamic, transient rush current analysis Multi mode voltage drop signoff: Functional modes (case analysis) Scan mode ECE 260B CSE 241A Tapeout 13

14 Voltage drop signoff Two types of voltage drop failures: Hard failure: VDD-VSS < min(vdd-vss)spec Soft failure: - Voltage drop induced skew variability - Voltage drop induced delay EM power mesh failure (over time, burn in) Package induced voltage drop failure For RF designs and Digital-RF/Mixed signal SOC s we can have package coupled with substrate noise induced functional failure. Dynamic voltage scaling functionality must be validated during voltage drop signoff for low power designs which employ this design technique. ECE 260B CSE 241A Tapeout 14

15 Voltage drop signoff Multi power domain design in 65nm (DEF view) ECE 260B CSE 241A Tapeout 15

16 Voltage drop signoff Static IR drop voltage drop map: 8mV max static voltage drop ECE 260B CSE 241A Tapeout 16

17 Voltage drop signoff P/G mesh total resistance instance map (VDD, GND) ECE 260B CSE 241A Tapeout 17

18 Voltage drop signoff Instance power map. ECE 260B CSE 241A Tapeout 18

19 Voltage drop signoff Voltage drop static IR histogram ECE 260B CSE 241A Tapeout 19

20 Voltage drop signoff Dynamic IR: worst voltage drop over tw. without package data 40mV max average voltage drop ECE 260B CSE 241A Tapeout 20

21 Voltage drop signoff Dynamic IR: worst voltage drop over tw. with package data 50mV max average voltage drop ECE 260B CSE 241A Tapeout 21

22 Voltage drop signoff Voltage drop dynamic IR histogram (no package) ECE 260B CSE 241A Tapeout 22

23 Voltage drop signoff Total instantaneous current without package data: Vddcx_1, vddcx_2, vssx_0 ECE 260B CSE 241A Tapeout 23

24 Voltage drop signoff Total instantaneous current with package data: Vddcx_1, vddcx_2, vssx_0 ECE 260B CSE 241A Tapeout 24

25 Physical verification signoff Multiple physical verification tasks must be performed to guaranty the manufacturability and functionality of a design: DRC (design rule checks) LVS (layout versus schematic/netlist) ERC (electrical rule checks) Softcheck connection verification Compare (XOR) Mask layers integrity checks. To transfer the database to the Foundry the database must be encrypted (PGP). Foundry will perform after receiving the encrypted db: DRC Mask db. integrity check. ECE 260B CSE 241A Tapeout 25

26 Physical verification signoff DRC verification: Top level DRC deck provided by the foundry: - Check all the core rules - Check all the Pad/ESD rules - Check density/slotting rules - Check the seal ring rules Binary pass/fail decision Distributed CPU runs. Parallel runs grouped per set of layers. Requires waiver methodology: - Very seldom the top level DRC is 100% clean. - The IP s were developed 6 months before the tapeout and a different DRC deck was used. - Some rules are not coded properly (false errors) - Some rules applies only for specific IP s (bit cells) - For density rules some errors are marginal. ECE 260B CSE 241A Tapeout 26

27 Physical verification signoff DRC verification: DRC verification requires consistency: - Same errors flagged in the design side must be flagged in the foundry side. - All the waivers MUST be approved by the foundry. - Has a direct impact in the tapeout cycle (high visibility). - The foundry data-prep and mask making process will not start until database is DRC clean. The DRC results MUST be tool independent and database hierarchy independent. LVS verification: Is performed only in the design side. The netlist is not transferred to the foundry. Transistor level verification which will guaranty that the GDSII database represents the design netlist. Mandatory step before the database is hand off to the foundry. ECE 260B CSE 241A Tapeout 27

28 Physical verification signoff LVS verification: Multiple step process: - Netlist translation: Gate level physical verilog to transistor level cdl or spice. - Layout db. translation to GDSII/CIF/OASIS. - Crosscheck GDSII vs. CDL Netlist using design house LVS deck. Must checks for: - Correct connectivity. Catastrophic connectivity failures: Shorts, opens - Device property matching/miss-matching - Device property types. - LVS MUST be clean (no waivers) Hierarchical LVS is the only option to perform LVS verification for databases with hundred of millions of devices in UDSM process nodes (0.13u ->90nm ->65nm ->45nm ->32nm). Database hierarchy and the quality of the LVS deck, has a major impact in the running time and the cycle time for LVS database debugging. ECE 260B CSE 241A Tapeout 28

29 Physical verification signoff ERC (electrical rule checking) Performs structural electrical rule verification using the GDSII database Very important for low power designs which employs multiple voltage domains. Level shifter verification and cross power domain checks are performed during ERC. Very slow due to the fact that the connectivity is extracted flat. The only check which will guaranty for designs implemented in double gate and triple gate process with multi voltage domains the reliability of the product. Checks for: - Devices connected between power and ground - Devices connected at the wrong power domain - Shorts, opens - Latch-up rules - Wrong well connections. - Incorrect substrate isolations ECE 260B CSE 241A Tapeout 29

30 Physical verification signoff Softcheck verifications: Basic verification which will check that no power/ground connection is done STANDALONE trough the substrate (nwell/pwell). All the P/G connection must be propagated from the P/G pads (bumps) trough the metal power mesh to the devices. Compare verification: XOR verification is performed between the design GDSII database used during LVS and the foundry GDSII database used during DRC. The two databases may be different because different CAD layers are used during design process for device identification, which are not supported by the foundry. Different map files are used to stream out the LVS GDSII and the DRC GDSII database. However only the DRC GDSII database is send to the foundry. Mask layers integrity check, verifies that only the expected mask layers are in the database which is send to the foundry. ECE 260B CSE 241A Tapeout 30

31 Yield signoff Performed mainly by the fabless, fablite design houses. Not a standard verification flow in the industry. Perform the following analysis: CAA analysis at the chip level. (critical area analysis) Litho simulation and verification across process window CMP analysis. (Chemical Mechanical Planarization) Parametric yield analysis (SSTA) Build knowledge database. Perform silicon to design correlation post tapeout when the silicon is coming back from the foundry. ECE 260B CSE 241A Tapeout 31

32 EMI signoff Parallel process with the tapeout verification. Perform EMI analysis at the system level considering the power model of the silicon die, the package RLC model and the board RLC model. Required for wireless and high speed applications. Time consuming process which requires good understanding of the overall system behavior. Drives changes in the: Clock frequency planning Clock tree implementation PG mesh implementation Package design Board design ECE 260B CSE 241A Tapeout 32

33 Analog: Functional signoff For analog and mixed signal design the functionality of the design relative to a design specification document is validated trough full spice simulation: Full chip spice and mix signal spice analysis using the post LPE spice (cdl) netlist is performed: - Transient analysis - Sensitivity analysis - Monte Carlo analysis - AC analysis - PVT corner based analysis - Mismatch analysis - Spice analysis with RLC substrate information - Spice analysis with PG mesh RLC extracted netlist, RLC substrate information and RLC package information. ECE 260B CSE 241A Tapeout 33

34 Noise/Jitter signoff Noise analysis must be performed for RF/Mixed signal designs as a mandatory verification step. Yield loss estimation is performed based on this analysis The analysis requires high level of engineering skills and feedback from the product and test teams. The noise/jitter signoff verification methodology is build based on experimental results and simulation data. The methodology is process dependent and is not scalable from one process node to the next one. ECE 260B CSE 241A Tapeout 34

35 Database management Mandatory requirement for production designs: Required for maintaining database integrity Enables automated design flow development Required for design handoff during design implementation stage Required for revision control Next revision of a tapeout will use as a starting point the current revision. The database can be queried to extract valuable design information's. Used to support product and test deployment and failure analysis. ECE 260B CSE 241A Tapeout 35

36 Post-tapeout database management All the verification views must be archived in a relevant format and efficient manner. An archive design methodology must be employed for design audits and ISO 9000 (2006) compliance purpose. The archived database has a legal binding value which can be used in courts for Intellectual Property protection. From the archived tapeout design database, quality design metrics are extracted: Number of devices. Type of devices. Area of the die. Standard cell utilization. Memory utilization. I/O utilization. Routing resource utilization per routing layer. Estimated active power per functional modes. Estimated leakage power per functional modes. ECE 260B CSE 241A Tapeout 36

37 Quality metrics and design statistics Quality metrics and design statistics: Tapeout cycle time Implementation cycle time (per design step/task) Hardware utilization: number of CPU s/task Software (license) utilization Total amount of hard disk allocated to the project Number of engineers/week/task Number of mask layers Total cost/revision Number of revisions Number of design hardware bugs/revision Design quality metrics: - CMP uniformity. Metal density uniformity. Poly pitch distribution. - DFM score. CAA score. LPC score. Etc - Timing slack distribution. Etc. ECE 260B CSE 241A Tapeout 37

38 Tapeout completion ECE 260B CSE 241A Tapeout 38

Comprehensive Place-and-Route Platform Olympus-SoC

Comprehensive Place-and-Route Platform Olympus-SoC Comprehensive Place-and-Route Platform Olympus-SoC Digital IC Design D A T A S H E E T BENEFITS: Olympus-SoC is a comprehensive netlist-to-gdsii physical design implementation platform. Solving Advanced

More information

Will Silicon Proof Stay the Only Way to Verify Analog Circuits?

Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Pierre Dautriche Jean-Paul Morin Advanced CMOS and analog. Embedded analog Embedded RF 0.5 um 0.18um 65nm 28nm FDSOI 0.25um 0.13um 45nm 1997

More information

Lecture Content. 1 Adam Teman, 2018

Lecture Content. 1 Adam Teman, 2018 Lecture Content 1 Adam Teman, 2018 Digital VLSI Design Lecture 6: Moving to the Physical Domain Semester A, 2018-19 Lecturer: Dr. Adam Teman December 24, 2018 Disclaimer: This course was prepared, in its

More information

Apache s Power Noise Simulation Technologies

Apache s Power Noise Simulation Technologies Enabling Power Efficient i Designs Apache s Power Noise Simulation Technologies 1 Aveek Sarkar VP of Support Apache Design Inc, A wholly owned subsidiary of ANSYS Trends in Today s Electronic Designs Low-power

More information

Beyond Soft IP Quality to Predictable Soft IP Reuse TSMC 2013 Open Innovation Platform Presented at Ecosystem Forum, 2013

Beyond Soft IP Quality to Predictable Soft IP Reuse TSMC 2013 Open Innovation Platform Presented at Ecosystem Forum, 2013 Beyond Soft IP Quality to Predictable Soft IP Reuse TSMC 2013 Open Innovation Platform Presented at Ecosystem Forum, 2013 Agenda Soft IP Quality Establishing a Baseline With TSMC Soft IP Quality What We

More information

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 Silvaco s What is a PDK? Which people build, use, and support PDKs? How do analog/mixed-signal/rf engineers use a PDK to design ICs? What is an analog/mixed-signal/rf

More information

Xilinx SSI Technology Concept to Silicon Development Overview

Xilinx SSI Technology Concept to Silicon Development Overview Xilinx SSI Technology Concept to Silicon Development Overview Shankar Lakka Aug 27 th, 2012 Agenda Economic Drivers and Technical Challenges Xilinx SSI Technology, Power, Performance SSI Development Overview

More information

Graphics: Alexandra Nolte, Gesine Marwedel, Universität Dortmund. RTL Synthesis

Graphics: Alexandra Nolte, Gesine Marwedel, Universität Dortmund. RTL Synthesis Graphics: Alexandra Nolte, Gesine Marwedel, 2003 Universität Dortmund RTL Synthesis Purpose of HDLs Purpose of Hardware Description Languages: Capture design in Register Transfer Language form i.e. All

More information

EE582 Physical Design Automation of VLSI Circuits and Systems

EE582 Physical Design Automation of VLSI Circuits and Systems EE582 Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University Preliminaries Table of Contents Semiconductor manufacturing Problems to solve Algorithm complexity

More information

AMS DESIGN METHODOLOGY

AMS DESIGN METHODOLOGY OVER VIEW CADENCE ANALOG/ MIXED-SIGNAL DESIGN METHODOLOGY The Cadence Analog/Mixed-Signal (AMS) Design Methodology employs advanced Cadence Virtuoso custom design technologies and leverages silicon-accurate

More information

Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions

Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions 2013 TSMC, Ltd Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions 2 Agenda Lifestyle Trends Drive Product Requirements Concurrent Technology and Design Development FinFET Design Challenges

More information

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 TABLE OF CONTENTS 1.0 PURPOSE... 1 2.0 INTRODUCTION... 1 3.0 ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 3.1 PRODUCT DEFINITION PHASE... 3 3.2 CHIP ARCHITECTURE PHASE... 4 3.3 MODULE AND FULL IC DESIGN PHASE...

More information

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) Objective Part A: To become acquainted with Spectre (or HSpice) by simulating an inverter,

More information

DATASHEET ENCOUNTER LIBRARY CHARACTERIZER ENCOUNTER LIBRARY CHARACTERIZER

DATASHEET ENCOUNTER LIBRARY CHARACTERIZER ENCOUNTER LIBRARY CHARACTERIZER DATASHEET ENCOUNTER LIBRARY CHARACTERIZER Power and process variation concerns are growing for digital IC designers, who need advanced modeling formats to support their cutting-edge low-power digital design

More information

Physical Verification Challenges and Solution for 45nm and Beyond. Haifang Liao Celesda Design Solutions, Inc.

Physical Verification Challenges and Solution for 45nm and Beyond. Haifang Liao Celesda Design Solutions, Inc. Physical Verification Challenges and Solution for 45nm and Beyond Haifang Liao Celesda Design Solutions, Inc. Nanometer Design Era Semiconductor feature size has been shrunk 500x in 40 years Space for

More information

AccuCore STA DSPF Backannotation Timing Verification Design Flow

AccuCore STA DSPF Backannotation Timing Verification Design Flow Application Note AccuCore STA DSPF Backannotation Timing Verification Design Flow Abstract This application note highlights when and why DSPF backannotation is needed during timing verification, and details

More information

PrimeTime: Introduction to Static Timing Analysis Workshop

PrimeTime: Introduction to Static Timing Analysis Workshop i-1 PrimeTime: Introduction to Static Timing Analysis Workshop Synopsys Customer Education Services 2002 Synopsys, Inc. All Rights Reserved PrimeTime: Introduction to Static 34000-000-S16 Timing Analysis

More information

Taming the Challenges of Advanced-Node Design. Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012

Taming the Challenges of Advanced-Node Design. Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012 Taming the Challenges of Advanced-Node Design Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012 The custom design community Designers ( Relaxed attitude

More information

SSO Noise And Conducted EMI: Modeling, Analysis, And Design Solutions

SSO Noise And Conducted EMI: Modeling, Analysis, And Design Solutions SSO Noise And Conducted EMI: Modeling, Analysis, And Design Solutions Patrice Joubert Doriol 1, Aurora Sanna 1, Akhilesh Chandra 2, Cristiano Forzan 1, and Davide Pandini 1 1 STMicroelectronics, Central

More information

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies Design Solutions in Foundry Environment by Michael Rubin Agilent Technologies Presenter: Michael Rubin RFIC Engineer, R&D, Agilent Technologies former EDA Engineering Manager Agilent assignee at Chartered

More information

March 20, 2002, San Jose Dominance of embedded Memories. Ulf Schlichtmann Slide 2. esram contents [Mbit] 100%

March 20, 2002, San Jose Dominance of embedded Memories. Ulf Schlichtmann Slide 2. esram contents [Mbit] 100% Goal and Outline IC designers: awareness of memory challenges isqed 2002 Memory designers: no surprises, hopefully! March 20, 2002, San Jose Dominance of embedded Memories Tomorrows High-quality SoCs Require

More information

Cluster-based approach eases clock tree synthesis

Cluster-based approach eases clock tree synthesis Page 1 of 5 EE Times: Design News Cluster-based approach eases clock tree synthesis Udhaya Kumar (11/14/2005 9:00 AM EST) URL: http://www.eetimes.com/showarticle.jhtml?articleid=173601961 Clock network

More information

Improved Circuit Reliability/Robustness. Carey Robertson Product Marketing Director Mentor Graphics Corporation

Improved Circuit Reliability/Robustness. Carey Robertson Product Marketing Director Mentor Graphics Corporation Improved Circuit Reliability/Robustness Carey Robertson Product Marketing Director Mentor Graphics Corporation Reliability Requirements are Growing in all Market Segments Transportation Mobile / Wireless

More information

Case study of Mixed Signal Design Flow

Case study of Mixed Signal Design Flow IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 3, Ver. II (May. -Jun. 2016), PP 49-53 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Case study of Mixed Signal Design

More information

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology DATASHEET VCS AMS Mixed-Signal Verification Solution Scalable mixedsignal regression testing with transistor-level accuracy Overview The complexity of mixed-signal system-on-chip (SoC) designs is rapidly

More information

SOI REQUIRES BETTER THAN IR-DROP. F. Clément, CTO

SOI REQUIRES BETTER THAN IR-DROP. F. Clément, CTO SOI REQUIRES BETTER THAN IR-DROP F. Clément, CTO Content IR Drop Vs. System-level Interferences CWS Expertise Accuracy and Performance Silicon Validation Conclusion Copyright CWS 2004-2016 2 Sensitive

More information

ASIC Physical Design Top-Level Chip Layout

ASIC Physical Design Top-Level Chip Layout ASIC Physical Design Top-Level Chip Layout References: M. Smith, Application Specific Integrated Circuits, Chap. 16 Cadence Virtuoso User Manual Top-level IC design process Typically done before individual

More information

Electrical optimization and simulation of your PCB design

Electrical optimization and simulation of your PCB design Electrical optimization and simulation of your PCB design Steve Gascoigne Senior Consultant at Mentor Graphics Zagreb, 10. lipnja 2015. Copyright CADCAM Group 2015 The Challenge of Validating a Design..

More information

RTL2GDS Low Power Convergence for Chip-Package-System Designs. Aveek Sarkar VP, Technology Evangelism, ANSYS Inc.

RTL2GDS Low Power Convergence for Chip-Package-System Designs. Aveek Sarkar VP, Technology Evangelism, ANSYS Inc. RTL2GDS Low Power Convergence for Chip-Package-System Designs Aveek Sarkar VP, Technology Evangelism, ANSYS Inc. Electronics Design Complexities Antenna Design and Placement Chip Low Power and Thermal

More information

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi Digital System Design Lecture 2: Design Amir Masoud Gharehbaghi amgh@mehr.sharif.edu Table of Contents Design Methodologies Overview of IC Design Flow Hardware Description Languages Brief History of HDLs

More information

Design Methodologies and Tools. Full-Custom Design

Design Methodologies and Tools. Full-Custom Design Design Methodologies and Tools Design styles Full-custom design Standard-cell design Programmable logic Gate arrays and field-programmable gate arrays (FPGAs) Sea of gates System-on-a-chip (embedded cores)

More information

Enabling DFM Flow Peter Rabkin Xilinx, Inc.

Enabling DFM Flow Peter Rabkin Xilinx, Inc. Enabling DFM Flow Peter Rabkin Xilinx, Inc. Open DFM Workshop San Jose CA v 9, 2006 2006 All Rights Reserved Fabless Litho-DFM Requirements Design Tolerance Req s Systematic & automated litho compliance

More information

TRILOBYTE SYSTEMS. Consistent Timing Constraints with PrimeTime. Steve Golson Trilobyte Systems.

TRILOBYTE SYSTEMS. Consistent Timing Constraints with PrimeTime. Steve Golson Trilobyte Systems. TRILOBYTE SYSTEMS Consistent Timing Constraints with PrimeTime Steve Golson Trilobyte Systems http://www.trilobyte.com 2 Physical implementation Rule #1 Do not change the functionality Rule #2 Meet the

More information

The Gold Standard for Parasitic Extraction and Signal Integrity Solutions

The Gold Standard for Parasitic Extraction and Signal Integrity Solutions The Gold Standard for Parasitic Extraction and Signal Integrity Solutions Critical Net Extraction and Analysis Full 3D seamless field solution High accuracy extraction Extracts net, tree, or entire path

More information

8D-3. Experiences of Low Power Design Implementation and Verification. Shi-Hao Chen. Jiing-Yuan Lin

8D-3. Experiences of Low Power Design Implementation and Verification. Shi-Hao Chen. Jiing-Yuan Lin Experiences of Low Power Design Implementation and Verification Shi-Hao Chen Global Unichip Corp. Hsin-Chu Science Park, Hsin-Chu, Taiwan 300 +886-3-564-6600 hockchen@globalunichip.com Jiing-Yuan Lin Global

More information

An Overview of Standard Cell Based Digital VLSI Design

An Overview of Standard Cell Based Digital VLSI Design An Overview of Standard Cell Based Digital VLSI Design With examples taken from the implementation of the 36-core AsAP1 chip and the 1000-core KiloCore chip Zhiyi Yu, Tinoosh Mohsenin, Aaron Stillmaker,

More information

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 Addressable Test Chip Technology for IC Design and Manufacturing Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 IC Design & Manufacturing Trends Both logic and memory

More information

Analog, Mixed-Signal, and Advanced-Node Custom Design Scalability, Convergence and Throughput

Analog, Mixed-Signal, and Advanced-Node Custom Design Scalability, Convergence and Throughput Analog, Mixed-Signal, and Advanced-Node Custom Design Scalability, Convergence and Throughput Tom Beckley, Senior VP of R&D, Custom IC and Simulation Analog Semiconductor Leaders' Forum Seoul, Korea October

More information

Concurrent, OA-based Mixed-signal Implementation

Concurrent, OA-based Mixed-signal Implementation Concurrent, OA-based Mixed-signal Implementation Mladen Nizic Eng. Director, Mixed-signal Solution 2011, Cadence Design Systems, Inc. All rights reserved worldwide. Mixed-Signal Design Challenges Traditional

More information

Physical stuff (20 mins) C2S2 Workshop 7/28/06

Physical stuff (20 mins) C2S2 Workshop 7/28/06 Physical stuff (20 mins) C2S2 Workshop 7/28/06 Clive Bittlestone TI Fellow Nagaraj NS DMTS, Roger Griesmer SMTS Carl Vickery SMTS Gopalarao Kadamati MGTS Texas Instruments Texas Instruments 2004,2005,2006

More information

EECS 627, Lab Assignment 3

EECS 627, Lab Assignment 3 EECS 627, Lab Assignment 3 1 Introduction In this lab assignment, we will use Cadence ICFB and Calibre to become familiar with the process of DRC/LVS checks on a design. So far, we have placed and routed

More information

StarRC Parasitic Extraction

StarRC Parasitic Extraction Datasheet StarRC Parasitic Extraction Overview StarRC is the EDA industry s gold standard for parasitic extraction. A key component of Synopsys Galaxy Design Platform, it provides a siliconaccurate and

More information

James Lin Vice President, Technology Infrastructure Group National Semiconductor Corporation CODES + ISSS 2003 October 3rd, 2003

James Lin Vice President, Technology Infrastructure Group National Semiconductor Corporation CODES + ISSS 2003 October 3rd, 2003 Challenges for SoC Design in Very Deep Submicron Technologies James Lin Vice President, Technology Infrastructure Group National Semiconductor Corporation CODES + ISSS 2003 October 3rd, 2003 1 Contents

More information

SSO Noise And Conducted EMI: Modeling, Analysis, And Design Solutions

SSO Noise And Conducted EMI: Modeling, Analysis, And Design Solutions SSO Noise And Conducted EMI: Modeling, Analysis, And Design Solutions Patrice Joubert Doriol 1, Aurora Sanna 1, Akhilesh Chandra 2, Cristiano Forzan 1, and Davide Pandini 1 1 STMicroelectronics, Central

More information

Synopsys Design Platform

Synopsys Design Platform Synopsys Design Platform Silicon Proven for FDSOI Swami Venkat, Senior Director, Marketing, Design Group September 26, 2017 2017 Synopsys, Inc. 1 Synopsys: Silicon to Software Software Application security

More information

Cell-Based IC Physical Design & Verification SOC Encounter. Advisor : 李昆忠 Presenter : 蕭智元

Cell-Based IC Physical Design & Verification SOC Encounter. Advisor : 李昆忠 Presenter : 蕭智元 Cell-Based IC Physical Design & Verification SOC Encounter Advisor : 李昆忠 Presenter : 蕭智元 Reference: SOC Encounter Training Manual, 2007, edited by CIC. Introduction We ll use some EDA tools to transform

More information

Lithography Simulation-Based Full-Chip Design Analyses

Lithography Simulation-Based Full-Chip Design Analyses Lithography Simulation-Based Full-Chip Design Analyses Puneet Gupta a, Andrew B. Kahng a, Sam Nakagawa a,saumilshah b and Puneet Sharma c a Blaze DFM, Inc., Sunnyvale, CA; b University of Michigan, Ann

More information

Manufacturing Challenges and their Implications on Design

Manufacturing Challenges and their Implications on Design Manufacturing Challenges and their Implications on Design Phiroze Parakh, Ph.D 45nm/32nm Design Challenges MANUFACTURING VARIATIONS PROCESS & DESIGN VARIATIONS LARGE DESIGNS LOW POWER The Evolution of

More information

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia Lecture 4a CMOS Fabrication, Layout and Simulation R. Saleh Dept. of ECE University of British Columbia res@ece.ubc.ca 1 Fabrication Fabrication is the process used to create devices and wires. Transistors

More information

Accelerating CDC Verification Closure on Gate-Level Designs

Accelerating CDC Verification Closure on Gate-Level Designs Accelerating CDC Verification Closure on Gate-Level Designs Anwesha Choudhury, Ashish Hari anwesha_choudhary@mentor.com, ashish_hari@mentor.com Design Verification Technologies Mentor Graphics Abstract:

More information

ASIC world. Start Specification Design Verification Layout Validation Finish

ASIC world. Start Specification Design Verification Layout Validation Finish AMS Verification Agenda ASIC world ASIC Industrial Facts Why Verification? Verification Overview Functional Verification Formal Verification Analog Verification Mixed-Signal Verification DFT Verification

More information

CMP Model Application in RC and Timing Extraction Flow

CMP Model Application in RC and Timing Extraction Flow INVENTIVE CMP Model Application in RC and Timing Extraction Flow Hongmei Liao*, Li Song +, Nickhil Jakadtar +, Taber Smith + * Qualcomm Inc. San Diego, CA 92121 + Cadence Design Systems, Inc. San Jose,

More information

Best Practices for Implementing ARM Cortex -A12 Processor and Mali TM -T6XX GPUs for Mid-Range Mobile SoCs.

Best Practices for Implementing ARM Cortex -A12 Processor and Mali TM -T6XX GPUs for Mid-Range Mobile SoCs. Best Practices for Implementing ARM Cortex -A12 Processor and Mali TM -T6XX GPUs for Mid-Range Mobile SoCs. Cortex-A12: ARM-Cadence collaboration Joint team working on ARM Cortex -A12 irm flow irm content:

More information

Leakage Mitigation Techniques in Smartphone SoCs

Leakage Mitigation Techniques in Smartphone SoCs Leakage Mitigation Techniques in Smartphone SoCs 1 John Redmond 1 Broadcom International Symposium on Low Power Electronics and Design Smartphone Use Cases Power Device Convergence Diverse Use Cases Camera

More information

! Design Methodologies. " Hierarchy, Modularity, Regularity, Locality. ! Implementation Methodologies. " Custom, Semi-Custom (cell-based, array-based)

! Design Methodologies.  Hierarchy, Modularity, Regularity, Locality. ! Implementation Methodologies.  Custom, Semi-Custom (cell-based, array-based) ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 23: April 12, 2016 VLSI Design and Variation Lecture Outline Design Methodologies Hierarchy, Modularity, Regularity, Locality Implementation

More information

PVS Interactive Short Locator: Establishing Efficiency and Predictability in the LVS Short Debug Process for Advanced SoC Design

PVS Interactive Short Locator: Establishing Efficiency and Predictability in the LVS Short Debug Process for Advanced SoC Design : Establishing Efficiency and Predictability in the LVS Short Process for Advanced SoC Design ging SoC designs grows more challenging as process technologies shrink. The time required to run multiple iterations

More information

EE 330 Laboratory Experiment Number 11

EE 330 Laboratory Experiment Number 11 EE 330 Laboratory Experiment Number 11 Design and Simulation of Digital Circuits using Hardware Description Languages Fall 2017 Contents Purpose:... 3 Background... 3 Part 1: Inverter... 4 1.1 Simulating

More information

Adaptive Voltage Scaling (AVS) Alex Vainberg October 13, 2010

Adaptive Voltage Scaling (AVS) Alex Vainberg   October 13, 2010 Adaptive Voltage Scaling (AVS) Alex Vainberg Email: alex.vainberg@nsc.com October 13, 2010 Agenda AVS Introduction, Technology and Architecture Design Implementation Hardware Performance Monitors Overview

More information

PACKAGE DESIGNERS NEED ASSEMBLY-LEVEL LVS FOR HDAP VERIFICATION TAREK RAMADAN MENTOR, A SIEMENS BUSINESS

PACKAGE DESIGNERS NEED ASSEMBLY-LEVEL LVS FOR HDAP VERIFICATION TAREK RAMADAN MENTOR, A SIEMENS BUSINESS PACKAGE DESIGNERS NEED ASSEMBLY-LEVEL LVS FOR HDAP VERIFICATION TAREK RAMADAN MENTOR, A SIEMENS BUSINESS D E S I G N T O S I L I C O N W H I T E P A P E R w w w. m e n t o r. c o m INTRODUCTION Contrary

More information

CMOS Process Flow. Layout CAD Tools

CMOS Process Flow. Layout CAD Tools CMOS Process Flow See supplementary power point file for animated CMOS process flow (see class ece410 website and/or* http://www.multimedia.vt.edu/ee5545/): This file should be viewed as a slide show It

More information

Physical Implementation

Physical Implementation CS250 VLSI Systems Design Fall 2009 John Wawrzynek, Krste Asanovic, with John Lazzaro Physical Implementation Outline Standard cell back-end place and route tools make layout mostly automatic. However,

More information

Stacked Silicon Interconnect Technology (SSIT)

Stacked Silicon Interconnect Technology (SSIT) Stacked Silicon Interconnect Technology (SSIT) Suresh Ramalingam Xilinx Inc. MEPTEC, January 12, 2011 Agenda Background and Motivation Stacked Silicon Interconnect Technology Summary Background and Motivation

More information

Improve Reliability With Accurate Voltage-Aware DRC. Matthew Hogan, Mentor Graphics

Improve Reliability With Accurate Voltage-Aware DRC. Matthew Hogan, Mentor Graphics Improve Reliability With Accurate Voltage-Aware DRC Matthew Hogan, Mentor Graphics BACKGROUND Consumer expectations for longer device operations at sustained performance levels means designing for reliability

More information

Expert Layout Editor. Technical Description

Expert Layout Editor. Technical Description Expert Layout Editor Technical Description Agenda Expert Layout Editor Overview General Layout Editing Features Technology File Setup Multi-user Project Library Setup Advanced Programmable Features Schematic

More information

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 1: Introduction to VLSI Technology Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Course Objectives

More information

Stacked IC Analysis Modeling for Power Noise Impact

Stacked IC Analysis Modeling for Power Noise Impact Si2 Open3D Kick-off Meeting June 7, 2011 Stacked IC Analysis Modeling for Power Noise Impact Aveek Sarkar Vice President Product Engineering & Support Stacked IC Design Needs Implementation Electrical-,

More information

Trends and Challenges

Trends and Challenges Trends and Challenges High accuracy is required in characterization, verification & signoff Increasing design complexities: -scale design ( ) using nano-scale technologies ( ) Shrinking design margins

More information

Latch-up Verification / Rule Checking Throughout Circuit Design Flow

Latch-up Verification / Rule Checking Throughout Circuit Design Flow Latch-up Verification / Rule Checking Throughout Circuit Design Flow Michael Khazhinsky ESD and Latch-up Design Silicon Labs April 2016 Motivation The verification of latch-up protection networks in modern

More information

Power, Performance and Area Implementation Analysis.

Power, Performance and Area Implementation Analysis. ARM Cortex -R Series: Power, Performance and Area Implementation Analysis. Authors: Neil Werdmuller and Jatin Mistry, September 2014. Summary: Power, Performance and Area (PPA) implementation analysis

More information

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation Course Goals Lab Understand key components in VLSI designs Become familiar with design tools (Cadence) Understand design flows Understand behavioral, structural, and physical specifications Be able to

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 8(2) I DDQ Current Testing (Chapter 13) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Learning aims Describe the

More information

Post Silicon Electrical Validation

Post Silicon Electrical Validation Post Silicon Electrical Validation Tony Muilenburg 1 1/21/2014 Homework 4 Review 2 1/21/2014 Architecture / Integration History 3 1/21/2014 4 1/21/2014 Brief History Of Microprocessors 5 1/21/2014 6 1/21/2014

More information

Low-Power Technology for Image-Processing LSIs

Low-Power Technology for Image-Processing LSIs Low- Technology for Image-Processing LSIs Yoshimi Asada The conventional LSI design assumed power would be supplied uniformly to all parts of an LSI. For a design with multiple supply voltages and a power

More information

Design rule illustrations for the AMI C5N process can be found at:

Design rule illustrations for the AMI C5N process can be found at: Cadence Tutorial B: Layout, DRC, Extraction, and LVS Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Revised by C Young & Waqar A Qureshi -FS08 Document Contents Introduction

More information

Design Methodologies. Full-Custom Design

Design Methodologies. Full-Custom Design Design Methodologies Design styles Full-custom design Standard-cell design Programmable logic Gate arrays and field-programmable gate arrays (FPGAs) Sea of gates System-on-a-chip (embedded cores) Design

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

Reduce Verification Complexity In Low/Multi-Power Designs. Matthew Hogan, Mentor Graphics

Reduce Verification Complexity In Low/Multi-Power Designs. Matthew Hogan, Mentor Graphics Reduce Verification Complexity In Low/Multi-Power Designs. Matthew Hogan, Mentor Graphics BACKGROUND The increasing demand for highly reliable products covers many industries, all process nodes, and almost

More information

Comprehensive Layout-based ESD Check Methodology with Fast Full-chip Static and Macro-level Dynamic Solutions

Comprehensive Layout-based ESD Check Methodology with Fast Full-chip Static and Macro-level Dynamic Solutions Comprehensive Layout-based ESD Check Methodology with Fast Full-chip Static and Macro-level Dynamic Solutions Norman Chang, Ting-Sheng Ku, Jai Pollayil 26 th International Conference on VLSI January 2013

More information

Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol SerDes PHY IP

Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol SerDes PHY IP Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol IP By William Chen and Osman Javed, Cadence Design Systems Applications such as the Internet of Things, cloud computing, and high-definition

More information

Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014

Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014 White Paper Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014 Author Helene Thibieroz Sr Staff Marketing Manager, Adiel Khan Sr Staff Engineer, Verification Group;

More information

electronic lab 11 Fedora Electronic Lab empowers hardware engineers and universities with opensource solutions for micro nano electronics engineering.

electronic lab 11 Fedora Electronic Lab empowers hardware engineers and universities with opensource solutions for micro nano electronics engineering. The Fedora Project is out front for you, leading the advancement of free, open software and content. electronic lab 11 Community Leader in opensource EDA deployment Fedora Electronic Lab empowers hardware

More information

310/ ICTP-INFN Advanced Tranining Course on FPGA and VHDL for Hardware Simulation and Synthesis 27 November - 22 December 2006

310/ ICTP-INFN Advanced Tranining Course on FPGA and VHDL for Hardware Simulation and Synthesis 27 November - 22 December 2006 310/1780-18 ICTP-INFN Advanced Tranining Course on FPGA and VHDL for Hardware Simulation and Synthesis 27 November - 22 December 2006 Design Methodology Tools Jorgen CHRISTIANSEN PH-ED CERN CH-1221 Geneva

More information

AccuCore SPICE Accurate Core Characterization with STA. Silvaco Japan Technology Seminar Spring 2007

AccuCore SPICE Accurate Core Characterization with STA. Silvaco Japan Technology Seminar Spring 2007 AccuCore SPICE Accurate Core Characterization with STA Silvaco Japan Technology Seminar Spring 2007 What is AccuCore? Why would I use it? AccuCore performs automatic block SPICE characterization and Static

More information

Designing into a Foundry Low Power High-k Metal Gate 28nm CMOS Solution for High-Performance Analog Mixed Signal and Mobile Applications

Designing into a Foundry Low Power High-k Metal Gate 28nm CMOS Solution for High-Performance Analog Mixed Signal and Mobile Applications Designing into a Foundry Low Power High-k Metal Gate 28nm CMOS Solution for High-Performance Analog Mixed Signal and Mobile Applications A Collaborative White Paper by RAMBUS and GLOBALFOUNDRIES W h i

More information

CAD for VLSI. Debdeep Mukhopadhyay IIT Madras

CAD for VLSI. Debdeep Mukhopadhyay IIT Madras CAD for VLSI Debdeep Mukhopadhyay IIT Madras Tentative Syllabus Overall perspective of VLSI Design MOS switch and CMOS, MOS based logic design, the CMOS logic styles, Pass Transistors Introduction to Verilog

More information

Implementing Tile-based Chip Multiprocessors with GALS Clocking Styles

Implementing Tile-based Chip Multiprocessors with GALS Clocking Styles Implementing Tile-based Chip Multiprocessors with GALS Clocking Styles Zhiyi Yu, Bevan Baas VLSI Computation Lab, ECE Department University of California, Davis, USA Outline Introduction Timing issues

More information

IEEE 1394a_2000 Physical Layer ASIC

IEEE 1394a_2000 Physical Layer ASIC IEEE 1394a_2000 Physical Layer ASIC Ranjit Yashwante, Bhalchandra Jahagirdar ControlNet (India) Pvt. Ltd. www.controlnetindia.com {ranjit, jahagir}@controlnet.co.in Abstract CN4011A is IEEE 1394a_2000

More information

idrm: Fixing the broken interface between design and manufacturing

idrm: Fixing the broken interface between design and manufacturing idrm: Fixing the broken interface between design and manufacturing Abstract Sage Design Automation, Inc. Santa Clara, California, USA This paper reviews the industry practice of using the design rule manual

More information

Mixed-Signal Design Trends and Challenges

Mixed-Signal Design Trends and Challenges CHAPTER 1 Mixed-Signal Design Trends and Challenges Mladen Nizic Introduction What is mixed-signal design? There may be as many different answers as people asked. Most would agree that mixed-signal is

More information

Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial

Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial Introduction This tutorial is an introduction to schematic capture and circuit simulation for ENGN1600 using Cadence Virtuoso. These courses

More information

DATASHEET VIRTUOSO LAYOUT SUITE GXL

DATASHEET VIRTUOSO LAYOUT SUITE GXL DATASHEET Part of the Cadence Virtuoso Layout Suite family of products, is a collection of fully automated layout capabilities such as custom placement and routing, layout optimization, module generation,

More information

Custom Design Formal Equivalence Checking Based on Symbolic Simulation. Overview. Verification Scope. Create Verilog model. Behavioral Verilog

Custom Design Formal Equivalence Checking Based on Symbolic Simulation. Overview. Verification Scope. Create Verilog model. Behavioral Verilog DATASHEET Custom Design Formal Equivalence Checking Based on Symbolic Simulation High-quality equivalence checking for full-custom designs Overview is an equivalence checker for full custom designs. It

More information

10. Interconnects in CMOS Technology

10. Interconnects in CMOS Technology 10. Interconnects in CMOS Technology 1 10. Interconnects in CMOS Technology Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 October

More information

An overview of standard cell based digital VLSI design

An overview of standard cell based digital VLSI design An overview of standard cell based digital VLSI design Implementation of the first generation AsAP processor Zhiyi Yu and Tinoosh Mohsenin VCL Laboratory UC Davis Outline Overview of standard cellbased

More information

UNIVERSITY OF WATERLOO

UNIVERSITY OF WATERLOO UNIVERSITY OF WATERLOO UW ASIC DESIGN TEAM: Cadence Tutorial Description: Part I: Layout & DRC of a CMOS inverter. Part II: Extraction & LVS of a CMOS inverter. Part III: Post-Layout Simulation. The Cadence

More information

Laker 3 Custom Design Tools

Laker 3 Custom Design Tools Datasheet Laker 3 Custom Design Tools Laker 3 Custom Design Tools The Laker 3 Custom Design Tools form a unified front-to-back environment for custom circuit design and layout. They deliver a complete

More information

EDA Software for Verification of Metal Interconnects in ESD Protection Networks at Chip, Block, and Cell Level

EDA Software for Verification of Metal Interconnects in ESD Protection Networks at Chip, Block, and Cell Level EDA Software for Verification of Metal Interconnects in ESD Protection Networks at Chip, Block, and Cell Level Maxim Ershov (1)*, Yuri Feinberg (1), Meruzhan Cadjan (1), David Klein (2), Melanie Etherton

More information

AMIS CDNLive Paper: A DFII Based Place and Route Interface

AMIS CDNLive Paper: A DFII Based Place and Route Interface Table of Contents Chapter 1.0 Overview Chapter 2.0 Implementation Chapter 3.0 Digital Integration Form Chapter 4.0 To P&R Tab Chapter 5.0 From P&R Tab Chapter 6.0 Summary 1/17 Introduction Chapter 1: Overview

More information

EITF35: Introduction to Structured VLSI Design

EITF35: Introduction to Structured VLSI Design EITF35: Introduction to Structured VLSI Design Part 1.1.2: Introduction (Digital VLSI Systems) Liang Liu liang.liu@eit.lth.se 1 Outline Why Digital? History & Roadmap Device Technology & Platforms System

More information