SRI VENKATESWARA COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRONICS AND COMMUNICATION VLSI Design (EC2354) QUESTION BANK UNIT I Part A(2 marks)

Size: px
Start display at page:

Download "SRI VENKATESWARA COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRONICS AND COMMUNICATION VLSI Design (EC2354) QUESTION BANK UNIT I Part A(2 marks)"

Transcription

1 SRI VENKATESWARA COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRONICS AND COMMUNICATION VLSI Design (EC2354) QUESTION BANK UNIT I Part A(2 marks) 1. State any two differences between CMOS and Bipolar technology 2. Compare CMOS and BICMOS logic. (Dec 2013) 2. Draw the stick diagram for an n type enhancement mode transistor 3. What is latch up problem in CMOS CIRCUIT? 4. What is the advantage of SOI CMOS process? 5. List any two layout design rules. (May 20 14) 6. How do you prevent latch up problem 7. What is LOCOS.Draw the energy band diagram of the components that make the MOS? ( MAY 20 11) 8. What is silicide 9. What is Polycide 10. What are the steps involved in manufacturing of IC? 11. What are the processes involved in photolithography. 12. What are the various CMOS technologies? 13. What is the special feature of Twin Tub process. 14. What is meant by Interconnect.What are the types of Interconnect. 15. What is stick diagram 16. What are the cells available in primitive library? 17. what is channel Ion implantation. 18.wy tunneling current higher for NMOS than PMOS.(Dec 2012) 1For an NMOS with vt=0.7v and if vgs=2v,vds=3v.whether it works in saturation region? (dec 2011) 19.what is DRC and LVS rule 20.What is layout editor? 21.Define threshold voltage 22. How inversion layer is formed 23. Draw the symbol of n-mos and draw I-V characteristics of MOS. (May 20 12) 24. Define Noise margin. 25. What is body effect? (Dec 2011) 26. Define rise time. 27. Draw the symbol transmission gate 28. Draw the symbol of tristate inverter 29. What are the three modes of n-mos enhancement transistor. (May 20 12) 30.What is Impact Ionization? 31 What is sub threshold current 32.What is drain punch through effect 33. What is static power dissipation? 34. Write an expression for power dissipation in CMOS inverter

2 36. Give the expression for rise time and fall time in CMOS inverter.draw DC transfer characteristics of CMOS inverter.(dec 2013) 37. Compare nmos and pmos device.what are CAD issues. (May 20 13) 38.write the equation of channel length modulation. (May 20 11) 39.Draw I-V characteristics of MOS. (May 20 13) 40.What are non ideal effects of MOS? (May 20 14) PART-B(16 marks) 1.Explain the various steps in fabrication of N-channel MOSFET. ( MAY 20 11) 2.(a) Explain N-well process with neat diagrams. (Dec 20 12) (b)explain source drain and isolation steps of cmos. (May 20 11) 3. Explain with neat diagram Twin tub process 4. Explain SOI process with neat diagram. and its advantage.(nov-2012) 5. Draw the layout and stick diagram of (i) NAND GATE (ii) NOR GATE(ii)Buffer(iv)xor gate 6. Explain latch up and methods to prevent it. Write short notes on a) Design hierarchies b) CAD tools sets 7. What are the various interconnect methods? Explain with diagram. 8. With neat diagram explain the steps involved in the p-well process of CMOS fabrication 9. Discuss the lambda based design rules for CMOS transistor. (May 20 12) 10. Implement the function y=[ab(b+c)] using cmos technology 11. Explain the working principle of n-mos enhancement transistor with various modes of operation. (May 20 12) 12. Derive the equation for threshold voltage of a MOS transistor and threshold voltage in terms of Flat band voltage 13. Derive the Drain to source current in the non saturated and saturated regions of operation of an n-mos transistor. (Dec,May 20 11,2014) 14. Briefly explain about drain punch through and fowler Nordheim tunneling and Impact on ionization 15. Explain pass transistor and transmission gate. 16. Calculate the threshold voltage for a transistor at 300K for a process with a silicon substrate with NA=1.80x10 16, silicon dioxide thickness 200A,assume Φms=-.9v,Qfc=0 17. Explain the switching characteristics of CMOS inverter with neat sketches 18.Explain with neat layout diagram the design rules of CMOS inverter. (AU 20 11) 19.Write short note on(a) channel length modulation. (may 20 11) (b)sub threshold current,narrow channel effect. (May 20 11) (c)body effect,short channel effect. (May,Dec20 11,2012) (d) velocity saturation and mobility degradation.

3 20. Explain the CMOS inverter DC characteristics. (Dec,May 20 11,2012,Nov-2013) 21. Write short notes on transmission gate 22.Explain the various CMOS process enhancement. (May,Dec 20 11,2014) 23.Discuss the lambda based design rules for NMOS transistor and draw the layout for a three input NAND gate 24.With a neat diagram explain the C-V Characteristics MOS gate and diffusion capacitance model. (Dec20 14) 24.Explain electrical properties of MOS transistor in detail.(nov-2013) 25.Define and derive the transconductance of nmos transistor. 26.For an NMOS with vt=0.16vv,tox=0.2x10-6 cm,εox=3.4,εsi=11.7,vsb=2.5v, ni=1.5x10 10 /cm 3,na=3x10 16 /cm3.determine the shift in threshold voltage caused by body effect.(dec 2012). 27. NMOS transistor with vt=0.7vv,tox=10nm,εox=3.9,mobilitu=520,w/l=8. Calculate Ids for vgs=2v,vds=1.2v.(dec 2011). UNIT-II PART-A 1. State the difference between constant field scaling and lateral scaling.(may 2013) 2. Define logical effort. 3. Define effort delay,parasitic delay,contamination delay and propagation delay 4. Define edge rate 5. Draw the RC model of PMOS and NMOS 6. Define wire pitch and aspect ratio 7. Define power dissipation.(dec 2013) 8. What is the effect of supply voltage and temperature variation on CMOS system performance.(dec 2012) 9. What is sheet resistance. What is cross talk delay 10. What is electro migration and self heating 11. What is soft error 12. What is the cause of charge sharing(refer circuit pitfall topic) 13. What is minority carrier injection and back gate coupling(refer circuit pitfall topic) 14. What are the effects of delay and power on scaling? (May 20 11) 15. Write tphl and tplh expression. (May20 11) 16. What is the use of monte carlo simulation(refer PSPICE topic) 17. What are the various levels of device model in pspice(level1,level2,level3) (May 20 13) 18. Define elmore delay model 19. Define scaling and mention its type.(dec 2013) 20. Define linear delay,effort delay and electrical effort. 21. Define path electrical effort,path effort,path delay 22. What is dynamic power and static power dissipation.mention the causes for dissipation.(dec 2012)

4 23. Define activity factor. 24. What is the effect of cross talk delay. Define hot carriers 25. What is latch up effect(may20 12) 26. What is the cause of supply noise voltage 27. What is design margin. (Dec20 13) What is device modeling. (May 20 13) 29. Calculate path effort for the given cuircuit 27.calculate path effort for the given circuit 28. What are the effects of delay and power on scaling? (may20 11) PART-B 1.Estimate the rising and falling propagation delays of a 2-input NAND gate and 2 input NOR gate using the elmore delay model. (may 20 13) 2..Estimate the rising and falling propagation delays of a CMOS-Inverter(Nov-2013) 3a).Write short notes on (i)design margin (ii) Latchup effect and methods to prevent it. (may,dec 20 11,2014) 4.calculate the logical effort for NAND gate, NOR gate and Inverter 5. calculate the parasitic delay for NAND gate, NOR gate and Inverter 6.Briefly discuss about transistor scaling, lateral scaling, interconnect scaling field and voltage scaling. (AU 20 11,2012) 7.Design a CMOS inverter and MOS transistor using P-SPICE. 8.write a pspice program to calculate the(i) fanout of 4-inverters(ii)To measure power and energy of cmos inverter. 9.Explain about device and circuit characterization(refer p-spice topic)

5 10.write short notes on reliability,transistor sizing and circuit pitfalls. (may,dec 20 11,14,Nov-2012) 11.write a pspice program to calculate gate capacitance 12.Explain dynamic and static power dissipation and methods to reduce it. (Nov-2013) 13.Briefly discuss about the interconnect layer s performance in modern VLSI systems. 14. Estimate the minimum delay of the path from A to B in Fig. (shows below) and choose transistor sizes to achieve this delay. 15. A metal wire in 180 nm has 5 mm long 0.32µm wide and sheet resistance 0.05Ω/square,cpermicron =0.02fF/ µm.construct 3 segment π model for this metal wire. 16.Expalin device model and characterization. (May 20 14) 17.Explain parasitic capacitance model in PSPICE. (Dec20 12) 18.Explain pspice interconnect simulation and circuit simulation in pspice. (Dec2014) 19.Discuss the principle of constant field and lateral scaling.write the effects of scaling methods on device characterization.(nov-2012) 20.Discuss the equation to model Ids equation and diffusion capacitance of MOS(Dec 2012). UNIT-III PART-A 1. Implement y=ab+cd using AOI22 gate 2. What is Hi skew inverter and L0 skew inverter 3. Implement a D- flipflop using CMOS logic. 4. What are the qualities of an ideal sequencing method.(dec 2012) 5. Write the advantages of dynamic circuit family 6. What is monotonicity problem in dynamic circuit 7. What is the use of keeper circuit in domino logic 8. What is the speed advantage of VLSI family.(dec 2012) 9. Write the rules for combining timing types(refer static sequencing element) 10. What is the advantage of skew tolerant domino cicuit when compared to traditional domino circuit.(may 2014) 11. Draw the diagram of CMOS bistable element and its time domain behavior.(may 2011) 12. What is stable clock,valid clock,qualified clock in two phase timing types. 13. What is the use of synchronizer. (May 20 13)

6 14. What is metastable state 15. What is time borrowing concept 16. What is arbiter circuit and draw its circuit 17. Draw transmission gate diagram. (Dec 20 11) 18. State various sequencing methods 19. What is jamb latch 20. Draw the circuit diagram of sense amplifier 21. Draw pseudo nmos inverter. (May20 11) 22. Write advantages of flipflop. (May20 11) 23. What are the criteria for low power design.(may 2014) 24. Design i bit dynamic register using pass transistor logic.(dec 2013) 25. What is bubble pushing concept 26. Part B 1. Discuss about sequencing methods and compute the maximum and minimum delay timing constraints of sequential circuits. 2. Briefly discuss about synchronizer circuit.explain how synchronizer is used to communication between asynchronous systems 3. Compare traditional sequencing and skew tolerant domino circuits.(may 11) 4. Write short notes on two phase timing type 5. Discuss about pulsed latch 6. Disuss about conventional CMOS flip flops and pulsed and resettable latches. (AU 20 14,Nov 2012) 7. Explain the dynamic logic and domino logic with examples. 8. Explain (a)(i)cvsl (ii) pseudo nmos logic (May 20 11) (iii)dual rail domino logic(iv)pass transistor logic (b) dynamic logic and NP-domino logic. (Dec20 11) 9. Briefly discuss about the following with an example i)cvsl (ii) pseudo nmos logic (iii)dual rail domino logic(iv)pass transistor logic 10. Briefly discuss about the following with an example(i)dynamic logic(ii)p/n ratio logic(iii)np-domino logic(iv)modl(multiple output domino logic(nov-2012) 11. Explain skewed gates and find gu(logical effort-rising transition),gd for NAND gate and NOR gate 12. Explain BICMOS logic, SSDL LOGIC, ECDL LOGIC 13. Draw the transistor level schematic of one bit full adder circuit and explain.(dec 11) 14. Write the principles of low power logic design. (May 20 11) 15. Explain negative edge triggered Master slave Dflip flop. (May 20 12) 16. Explain pipelining concept in sequential circuit. (Dec 20 13) 17. Explain the design of latches and flip flop. (Dec 20 14) 18. Compare various circuit families. (May 20 14) 19. Explain the methods to reduce Static and dynamic power dissipation in CMOS circuits.(nov-2012) 20. Write notes on sequencing dynamic circuits.(nov-2012) 21. Implement Y=(A+B)(C+D) using CMOS logic and NAND gate using Pseudo - NMOS logic(nov-2013).

7 22. Explain the design of D-latches using transmission gates(nov-2013) 23. Implement 2-bit non-inverting dynamic shift register usingbpass transistor logic.(nov-2013) Unit IV Part A. 1. What is schooming process? 2. Mention the levels at which testing of a chip can be done.(dec 2012) 3. Mention the physical defects that occur in a chip? 4. What is meant by fault models? 5. What is stuck at -1fault and stuck-at-0 fault? (May 20 12) 6. What is meant by controllability and observability? 7. What is fault grading? 8. What are the approaches in design for testability? 9. Mention the common techniques involved in ad hoc testing? 10. What are the scan-based test techniques? (May 20 11) 11. What are the self-test techniques or BIST? (Dec 20 11) 12. What is known as IDDQ testing? (May 20 11) 13. What is logic verification?( (May 20 13) 14. What is Boundary scan? 15. What is the Test Access Port? 16. Write guidelines on MUX partition technique. (Dec 20 11) 17. What is the TAP controller? 18. State the need for testing. (May 20 14).Draw the boundary scan input logic diagram. 19. What is the aim of Adhoc test technique? 20. Compare functionality test and manufacturing test. (Dec 20 11) 21. What are text fixtures. (May 2012, 14) 22. Differentiate testers and test fixtures.(dec 2012). PART-B 1. Explain manufacturing test principles. (May 20 11,Nov 2013)) 2. write short notes on controllability,observability,fault model,atpg,fault grading,delay fault testing (Nov-2012) 3. With neat diagram describe the design strategies for testing(dft) the CMOS device or explain adhoc,scan based,built in self testing (AU 20 11,2012,Nov-2012) 4. Explain in detail on system level testing technique or boundary scan testing. (May 20 13,2014,Nov-2013) 5. Discuss about the various scan based testing. (serial scan,parallel, random, LSSD)

8 6. Briefly discuss about BILBO(Dec 20 13) 7. Write short notes on test fixtures and test program 8. Disuss about silicon debug principle. (May 20 12,Nov-2012) 9. Explain stuck at faultand ATPG with example 10. Explain built in self testing and IDDQ testing(may 20 11) 11. What is PRBS.Explain with an example. (Dec 20 12) 12. Explain how to detect a stuck at fault with examples.(nov-2012) UNIT-V PART-A 1.Give the difference between continuous and procedural assignment in Verilog 2.Why do you require sensitivity list 3.What is task in verilog 4.What are the data types in verilog 5.What is net in verilog 6.Define port 7.Define logic synthesis 8.Give the difference between blocking and non blocking statements.(dec 2013) 9.What are the values in verilog.(dec 2013) 8.What are gate primitives(may 20 13) 9.What is design hierarchy 10.Write the verilog coding for half adder. (May,Dec 20 11,2012) 11. Give the syntax for (i) array declaration (ii) case statement with example 12.Define module and give the syntax for it with example. 13.write gate delay specification. (May 20 11) 14..What are thee design models in verilog 15.Name the types of timing control in verilog 16.Give the syntax for initial block and always block with example 17.Compare structural and switch level model in verilog. (May 20 13) 18.What are procedural assignment in verilog.( (May20 14) 19.Give an example of implicit continuous assignment in verilog.(dec2012). 20.Name various system task in verilog. PART-B 1.With a neat flow chart explain the VLSI design flow 2.Explain the syntax of conditional statements in verilog HDL with example 3.Explain in detail behavioral and RTL modeling(may20 14) 4.Write the verilog program for a full adder circuit using the three models 5.Write a verilog program for 3 to 8 decoder in gate level description 6.Write a verilog program for 4 bit/8 bit ripple carry adder using full adder(nov-2013) 7.Give brief account of timing control and delay in verilog. (Dec,May 2012, 20 14)

9 8.Give a verilog structural gate level and behavioural description of two bit comparator. 9.Explain about data flow model and delay specification synatx in assign statement with example.(dec 2012) 10.Explain switch level model with example 11. write behavarial model verilog code for 1x8 demux(may 20 14) 12.Write a switch level verilog program for 2x1 multiplexer 13. Write a switch level verilog program for 2x1 multiplexer using two input NOR gate (May 20 11) 14. Write a switch level verilog program for CMOS inverter(dec 20 11) 15. Write a switch level verilog program for two input Nand gate(dec20 11) 16.Explain for loop,while loop,repeat and forever loop and if else statements with example. (May 20 14) 17.Write a verilog program for(i) equality detector(ii)d-flip flop (iii) 4x1 multiplexer using 2x1 muliplexer 18.Design 4x1 mux using case statement and conditional operator 19. (a)expalin verilog operators (b)write verilog code for 4x1 mux using Nand gate. (May20 13) 20. Write short notes on Implicit continuous assignment. (May 20 13) 21. Write verilog code of Dlatch,Positive edge triggered flipflops. (AU 20 11,Nov-2013) 22.Write verilog code for (a)priority encoder (b) 4 bit equality detector(dec,may 20 13) 23.Write verilog code for (a)2 bit comparator (May 2013) 24.Implement 4x1 mux in data flow model. (Dec 20 12) 25Draw an active high 2/4 decoder using NOR gates and write verilog gate level implementation. (Nov 2012) 26.Describe the three ways of specifying delays in continuous assignment statement(nov 2012) 27.Write the data flow model for 4x1 mux using verilog(nov 2012) 28.Implement 2x4 decoder using NOR logic and write verilog code.(dec 2012). 29.Implement 8 bit shift register using positive edge trigered dff and write verilog code.(dec 2013) 30.Design 4x16 decoder using 3x8 decoder.

UNIT IV CMOS TESTING

UNIT IV CMOS TESTING UNIT IV CMOS TESTING 1. Mention the levels at which testing of a chip can be done? At the wafer level At the packaged-chip level At the board level At the system level In the field 2. What is meant by

More information

QUESTION BANK DEPARTMENT OF ECE

QUESTION BANK DEPARTMENT OF ECE QUESTION BANK DEPARTMENT OF ECE YEAR: III SEM: VI SUBJECTCODE:EC2354 SUBJECT NAME:VLSI DESIGN Prepared by V.GUNASUNDARI/AP 1.List the advantages of SOI CMOS process Denser transistor structures are possible.

More information

SIDDHARTH INSTITUTE OF ENGINEERING AND TECHNOLOGY :: PUTTUR (AUTONOMOUS) Siddharth Nagar, Narayanavanam Road QUESTION BANK UNIT I

SIDDHARTH INSTITUTE OF ENGINEERING AND TECHNOLOGY :: PUTTUR (AUTONOMOUS) Siddharth Nagar, Narayanavanam Road QUESTION BANK UNIT I SIDDHARTH INSTITUTE OF ENGINEERING AND TECHNOLOGY :: PUTTUR (AUTONOMOUS) Siddharth Nagar, Narayanavanam Road 517583 QUESTION BANK Subject with Code : DICD (16EC5703) Year & Sem: I-M.Tech & I-Sem Course

More information

SIDDHARTH INSTITUTE OF ENGINEERING AND TECHNOLOGY :: PUTTUR (AUTONOMOUS) Siddharth Nagar, Narayanavanam Road QUESTION BANK

SIDDHARTH INSTITUTE OF ENGINEERING AND TECHNOLOGY :: PUTTUR (AUTONOMOUS) Siddharth Nagar, Narayanavanam Road QUESTION BANK SIDDHARTH INSTITUTE OF ENGINEERING AND TECHNOLOGY :: PUTTUR (AUTONOMOUS) Siddharth Nagar, Narayanavanam Road 517583 QUESTION BANK Subject with Code :VLSI Technology(16EC5701) Course & Branch: M.Tech (ES,

More information

St.MARTIN S ENGINEERING COLLEGE Dhulapally, Secunderabad

St.MARTIN S ENGINEERING COLLEGE Dhulapally, Secunderabad St.MARTIN S ENGINEERING COLLEGE Dhulapally, Secunderabad-500 014 Subject: Digital Design Using Verilog Hdl Class : ECE-II Group A (Short Answer Questions) UNIT-I 1 Define verilog HDL? 2 List levels of

More information

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) Objective Part A: To become acquainted with Spectre (or HSpice) by simulating an inverter,

More information

INSTITUTE OF AERONAUTICAL ENGINEERING Dundigal, Hyderabad ELECTRONICS AND COMMUNICATIONS ENGINEERING

INSTITUTE OF AERONAUTICAL ENGINEERING Dundigal, Hyderabad ELECTRONICS AND COMMUNICATIONS ENGINEERING INSTITUTE OF AERONAUTICAL ENGINEERING Dundigal, Hyderabad - 00 0 ELECTRONICS AND COMMUNICATIONS ENGINEERING QUESTION BANK Course Name : DIGITAL DESIGN USING VERILOG HDL Course Code : A00 Class : II - B.

More information

MLR Institute of Technology

MLR Institute of Technology MLR Institute of Technology Laxma Reddy Avenue, Dundigal, Quthbullapur (M), Hyderabad 500 043 Course Name Course Code Class Branch ELECTRONICS AND COMMUNICATIONS ENGINEERING QUESTION BANK : DIGITAL DESIGN

More information

3. Implementing Logic in CMOS

3. Implementing Logic in CMOS 3. Implementing Logic in CMOS 3. Implementing Logic in CMOS Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 27 September, 27 ECE Department,

More information

VLSI DESIGN (ELECTIVE-I) Question Bank Unit I

VLSI DESIGN (ELECTIVE-I) Question Bank Unit I VLSI DESIGN (ELECTIVE-I) Question Bank Unit I B.E (E&C) NOV-DEC 2008 1) If A & B are two unsigned variables, with A = 1100 and B = 1001, find the values of following expressions. i. (A and B) ii. (A ^

More information

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING QUESTION BANK NAME OF THE SUBJECT: EE 2255 DIGITAL LOGIC CIRCUITS

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING QUESTION BANK NAME OF THE SUBJECT: EE 2255 DIGITAL LOGIC CIRCUITS KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING QUESTION BANK NAME OF THE SUBJECT: EE 2255 DIGITAL LOGIC CIRCUITS YEAR / SEM: II / IV UNIT I BOOLEAN ALGEBRA AND COMBINATIONAL

More information

Columbia Univerity Department of Electrical Engineering Fall, 2004

Columbia Univerity Department of Electrical Engineering Fall, 2004 Columbia Univerity Department of Electrical Engineering Fall, 2004 Course: EE E4321. VLSI Circuits. Instructor: Ken Shepard E-mail: shepard@ee.columbia.edu Office: 1019 CEPSR Office hours: MW 4:00-5:00

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 4(part 2) Testability Measurements (Chapter 6) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Previous lecture What

More information

SHRI ANGALAMMAN COLLEGE OF ENGINEERING. (An ISO 9001:2008 Certified Institution) SIRUGANOOR, TIRUCHIRAPPALLI

SHRI ANGALAMMAN COLLEGE OF ENGINEERING. (An ISO 9001:2008 Certified Institution) SIRUGANOOR, TIRUCHIRAPPALLI SHRI ANGALAMMAN COLLEGE OF ENGINEERING AND TECHNOLOGY (An ISO 9001:2008 Certified Institution) SIRUGANOOR, TIRUCHIRAPPALLI 621 105 DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING EC1201 DIGITAL

More information

SUBJECT CODE: IT T35 DIGITAL SYSTEM DESIGN YEAR / SEM : 2 / 3

SUBJECT CODE: IT T35 DIGITAL SYSTEM DESIGN YEAR / SEM : 2 / 3 UNIT - I PART A (2 Marks) 1. Using Demorgan s theorem convert the following Boolean expression to an equivalent expression that has only OR and complement operations. Show the function can be implemented

More information

VALLIAMMAI ENGINEERING COLLEGE. SRM Nagar, Kattankulathur DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING EC6302 DIGITAL ELECTRONICS

VALLIAMMAI ENGINEERING COLLEGE. SRM Nagar, Kattankulathur DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING EC6302 DIGITAL ELECTRONICS VALLIAMMAI ENGINEERING COLLEGE SRM Nagar, Kattankulathur-603 203 DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING EC6302 DIGITAL ELECTRONICS YEAR / SEMESTER: II / III ACADEMIC YEAR: 2015-2016 (ODD

More information

6. Latches and Memories

6. Latches and Memories 6 Latches and Memories This chapter . RS Latch The RS Latch, also called Set-Reset Flip Flop (SR FF), transforms a pulse into a continuous state. The RS latch can be made up of two interconnected

More information

CMOS Process Flow. Layout CAD Tools

CMOS Process Flow. Layout CAD Tools CMOS Process Flow See supplementary power point file for animated CMOS process flow (see class ece410 website and/or* http://www.multimedia.vt.edu/ee5545/): This file should be viewed as a slide show It

More information

Sketch A Transistor-level Schematic Of A Cmos 3-input Xor Gate

Sketch A Transistor-level Schematic Of A Cmos 3-input Xor Gate Sketch A Transistor-level Schematic Of A Cmos 3-input Xor Gate DE09 DIGITALS ELECTRONICS 3 (For Mod-m Counter, we need N flip-flops (High speeds are possible in ECL because the transistors are used in

More information

Academic Course Description. VL2001 Digital System Design using Verilog First Semester, (Odd semester)

Academic Course Description. VL2001 Digital System Design using Verilog First Semester, (Odd semester) Academic Course Description SRM University Faculty of Engineering and Technology Department of Electronics and Communication Engineering VL2001 Digital System Design using Verilog First Semester, 2015-16(Odd

More information

UNIT V: SPECIFICATION USING VERILOG HDL

UNIT V: SPECIFICATION USING VERILOG HDL UNIT V: SPECIFICATION USING VERILOG HDL PART -A (2 Marks) 1. What are identifiers? Identifiers are names of modules, variables and other objects that we can reference in the design. Identifiers consists

More information

Digital Fundamentals. Integrated Circuit Technologies

Digital Fundamentals. Integrated Circuit Technologies Digital Fundamentals Integrated Circuit Technologies 1 Objectives Determine the noise margin of a device from data sheet parameters Calculate the power dissipation of a device Explain how propagation delay

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 10 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Content Manufacturing Defects Wafer defects Chip defects Board defects system defects

More information

(ii) Simplify and implement the following SOP function using NOR gates:

(ii) Simplify and implement the following SOP function using NOR gates: DHANALAKSHMI COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING EE6301 DIGITAL LOGIC CIRCUITS UNIT I NUMBER SYSTEMS AND DIGITAL LOGIC FAMILIES PART A 1. How can an OR gate be

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION Rapid advances in integrated circuit technology have made it possible to fabricate digital circuits with large number of devices on a single chip. The advantages of integrated circuits

More information

Computer Architecture (TT 2012)

Computer Architecture (TT 2012) Computer Architecture (TT 2012) The Register Transfer Level Daniel Kroening Oxford University, Computer Science Department Version 1.0, 2011 Outline Reminders Gates Implementations of Gates Latches, Flip-flops

More information

Hours / 100 Marks Seat No.

Hours / 100 Marks Seat No. 17333 13141 3 Hours / 100 Seat No. Instructions (1) All Questions are Compulsory. (2) Answer each next main Question on a new page. (3) Illustrate your answers with neat sketches wherever necessary. (4)

More information

10. Interconnects in CMOS Technology

10. Interconnects in CMOS Technology 10. Interconnects in CMOS Technology 1 10. Interconnects in CMOS Technology Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 October

More information

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation Course Goals Lab Understand key components in VLSI designs Become familiar with design tools (Cadence) Understand design flows Understand behavioral, structural, and physical specifications Be able to

More information

CHAPTER - 2 : DESIGN OF ARITHMETIC CIRCUITS

CHAPTER - 2 : DESIGN OF ARITHMETIC CIRCUITS Contents i SYLLABUS osmania university UNIT - I CHAPTER - 1 : BASIC VERILOG HDL Introduction to HDLs, Overview of Digital Design With Verilog HDL, Basic Concepts, Data Types, System Tasks and Compiler

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 8(2) I DDQ Current Testing (Chapter 13) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Learning aims Describe the

More information

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 TABLE OF CONTENTS 1.0 PURPOSE... 1 2.0 INTRODUCTION... 1 3.0 ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 3.1 PRODUCT DEFINITION PHASE... 3 3.2 CHIP ARCHITECTURE PHASE... 4 3.3 MODULE AND FULL IC DESIGN PHASE...

More information

Course Batch Semester Subject Code Subject Name. B.E-Marine Engineering B.E- ME-16 III UBEE307 Integrated Circuits

Course Batch Semester Subject Code Subject Name. B.E-Marine Engineering B.E- ME-16 III UBEE307 Integrated Circuits Course Batch Semester Subject Code Subject Name B.E-Marine Engineering B.E- ME-16 III UBEE307 Integrated Circuits Part-A 1 Define De-Morgan's theorem. 2 Convert the following hexadecimal number to decimal

More information

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets.

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Clock Routing Problem Formulation Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Better to develop specialized routers for these nets.

More information

Standard Cell Library Design and Characterization using 45nm technology

Standard Cell Library Design and Characterization using 45nm technology IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 1, Ver. I (Jan. 2014), PP 29-33 e-issn: 2319 4200, p-issn No. : 2319 4197 Standard Cell Library Design and Characterization using

More information

APPENDIX-A INTRODUCTION TO OrCAD PSPICE

APPENDIX-A INTRODUCTION TO OrCAD PSPICE 220 APPENDIX-A INTRODUCTION TO OrCAD PSPICE 221 APPENDIX-A INTRODUCTION TO OrCAD PSPICE 1.0 INTRODUCTION Computer aided circuit analysis provides additional information about the circuit performance that

More information

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia Lecture 4a CMOS Fabrication, Layout and Simulation R. Saleh Dept. of ECE University of British Columbia res@ece.ubc.ca 1 Fabrication Fabrication is the process used to create devices and wires. Transistors

More information

Chapter 6. CMOS Functional Cells

Chapter 6. CMOS Functional Cells Chapter 6 CMOS Functional Cells In the previous chapter we discussed methods of designing layout of logic gates and building blocks like transmission gates, multiplexers and tri-state inverters. In this

More information

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Hardware Design Environments Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Outline Welcome to COE 405 Digital System Design Design Domains and Levels of Abstractions Synthesis

More information

DESIGN AND SIMULATION OF 1 BIT ARITHMETIC LOGIC UNIT DESIGN USING PASS-TRANSISTOR LOGIC FAMILIES

DESIGN AND SIMULATION OF 1 BIT ARITHMETIC LOGIC UNIT DESIGN USING PASS-TRANSISTOR LOGIC FAMILIES Volume 120 No. 6 2018, 4453-4466 ISSN: 1314-3395 (on-line version) url: http://www.acadpubl.eu/hub/ http://www.acadpubl.eu/hub/ DESIGN AND SIMULATION OF 1 BIT ARITHMETIC LOGIC UNIT DESIGN USING PASS-TRANSISTOR

More information

3. The high voltage level of a digital signal in positive logic is : a) 1 b) 0 c) either 1 or 0

3. The high voltage level of a digital signal in positive logic is : a) 1 b) 0 c) either 1 or 0 1. The number of level in a digital signal is: a) one b) two c) four d) ten 2. A pure sine wave is : a) a digital signal b) analog signal c) can be digital or analog signal d) neither digital nor analog

More information

HANSABA COLLEGE OF ENGINEERING & TECHNOLOGY (098) SUBJECT: DIGITAL ELECTRONICS ( ) Assignment

HANSABA COLLEGE OF ENGINEERING & TECHNOLOGY (098) SUBJECT: DIGITAL ELECTRONICS ( ) Assignment Assignment 1. What is multiplexer? With logic circuit and function table explain the working of 4 to 1 line multiplexer. 2. Implement following Boolean function using 8: 1 multiplexer. F(A,B,C,D) = (2,3,5,7,8,9,12,13,14,15)

More information

NADAR SARASWATHI COLLEGE OF ENGINEERING AND TECHNOLOGY Vadapudupatti, Theni

NADAR SARASWATHI COLLEGE OF ENGINEERING AND TECHNOLOGY Vadapudupatti, Theni NADAR SARASWATHI COLLEGE OF ENGINEERING AND TECHNOLOGY Vadapudupatti, Theni-625531 Question Bank for the Units I to V SEMESTER BRANCH SUB CODE 3rd Semester B.E. / B.Tech. Electrical and Electronics Engineering

More information

Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial

Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial Introduction This tutorial is an introduction to schematic capture and circuit simulation for ENGN1600 using Cadence Virtuoso. These courses

More information

Dynamic Logic Families

Dynamic Logic Families Dynamic Logic Families C.K. Ken Yang UCLA yangck@ucla.edu Courtesy of MAH,JR 1 Overview Reading Rabaey 6.3 (Dynamic), 7.5.2 (NORA) Overview This set of notes cover in greater detail Dynamic Logic Families

More information

CS250 VLSI Systems Design Lecture 9: Memory

CS250 VLSI Systems Design Lecture 9: Memory CS250 VLSI Systems esign Lecture 9: Memory John Wawrzynek, Jonathan Bachrach, with Krste Asanovic, John Lazzaro and Rimas Avizienis (TA) UC Berkeley Fall 2012 CMOS Bistable Flip State 1 0 0 1 Cross-coupled

More information

Spiral 2-8. Cell Layout

Spiral 2-8. Cell Layout 2-8.1 Spiral 2-8 Cell Layout 2-8.2 Learning Outcomes I understand how a digital circuit is composed of layers of materials forming transistors and wires I understand how each layer is expressed as geometric

More information

Sense Amplifiers 6 T Cell. M PC is the precharge transistor whose purpose is to force the latch to operate at the unstable point.

Sense Amplifiers 6 T Cell. M PC is the precharge transistor whose purpose is to force the latch to operate at the unstable point. Announcements (Crude) notes for switching speed example from lecture last week posted. Schedule Final Project demo with TAs. Written project report to include written evaluation section. Send me suggestions

More information

Introduction to Verilog design. Design flow (from the book) Hierarchical Design. Lecture 2

Introduction to Verilog design. Design flow (from the book) Hierarchical Design. Lecture 2 Introduction to Verilog design Lecture 2 ECE 156A 1 Design flow (from the book) ECE 156A 2 Hierarchical Design Chip Modules Cells Primitives A chip contain many modules A module may contain other modules

More information

Digital Integrated Circuits (83-313) Lecture 2: Technology and Standard Cell Layout

Digital Integrated Circuits (83-313) Lecture 2: Technology and Standard Cell Layout Digital Integrated Circuits (83-313) Lecture 2: Technology and Standard Cell Layout Semester B, 2016-17 Lecturer: Dr. Adam Teman TAs: Itamar Levi, Robert Giterman 26 March 2017 Disclaimer: This course

More information

Reference Sheet for C112 Hardware

Reference Sheet for C112 Hardware Reference Sheet for C112 Hardware 1 Boolean Algebra, Gates and Circuits Autumn 2016 Basic Operators Precedence : (strongest),, + (weakest). AND A B R 0 0 0 0 1 0 1 0 0 1 1 1 OR + A B R 0 0 0 0 1 1 1 0

More information

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions 04/15/14 1 Introduction: Low Power Technology Process Hardware Architecture Software Multi VTH Low-power circuits Parallelism

More information

Introduction to Verilog design. Design flow (from the book)

Introduction to Verilog design. Design flow (from the book) Introduction to Verilog design Lecture 2 ECE 156A 1 Design flow (from the book) ECE 156A 2 1 Hierarchical Design Chip Modules Cells Primitives A chip contain many modules A module may contain other modules

More information

Scheme G. Sample Test Paper-I

Scheme G. Sample Test Paper-I Sample Test Paper-I Marks : 25 Times:1 Hour 1. All questions are compulsory. 2. Illustrate your answers with neat sketches wherever necessary. 3. Figures to the right indicate full marks. 4. Assume suitable

More information

EE 434 Lecture 30. Logic Design

EE 434 Lecture 30. Logic Design EE 434 Lecture 30 Logic Design Review from last time: Hierarchical nalog Design Domains: Top Behavioral: Structural: Physical Bottom Up Design Top Down Design Bottom Review from last time: Hierarchical

More information

Lecture #1: Introduction

Lecture #1: Introduction Lecture #1: Introduction Kunle Olukotun Stanford EE183 January 8, 20023 What is EE183? EE183 is continuation of EE121 Digital Logic Design is a a minute to learn, a lifetime to master Programmable logic

More information

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION After finishing a schematic of your design (Tutorial-I), the next step is creating masks which are for

More information

DIGITAL ELECTRONICS. Vayu Education of India

DIGITAL ELECTRONICS. Vayu Education of India DIGITAL ELECTRONICS ARUN RANA Assistant Professor Department of Electronics & Communication Engineering Doon Valley Institute of Engineering & Technology Karnal, Haryana (An ISO 9001:2008 ) Vayu Education

More information

Digital Design Methodology (Revisited) Design Methodology: Big Picture

Digital Design Methodology (Revisited) Design Methodology: Big Picture Digital Design Methodology (Revisited) Design Methodology Design Specification Verification Synthesis Technology Options Full Custom VLSI Standard Cell ASIC FPGA CS 150 Fall 2005 - Lec #25 Design Methodology

More information

COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING QUESTION BANK SUBJECT CODE & NAME: EC 1312 DIGITAL LOGIC CIRCUITS UNIT I

COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING QUESTION BANK SUBJECT CODE & NAME: EC 1312 DIGITAL LOGIC CIRCUITS UNIT I KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING QUESTION BANK SUBJECT CODE & NAME: EC 1312 DIGITAL LOGIC CIRCUITS YEAR / SEM: III / V UNIT I NUMBER SYSTEM & BOOLEAN ALGEBRA

More information

Brief Introduction of Cell-based Design. Ching-Da Chan CIC/DSD

Brief Introduction of Cell-based Design. Ching-Da Chan CIC/DSD Brief Introduction of Cell-based Design Ching-Da Chan CIC/DSD 1 Design Abstraction Levels SYSTEM MODULE + GATE CIRCUIT S n+ G DEVICE n+ D 2 Full Custom V.S Cell based Design Full custom design Better patent

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation NTU IC541CA 1 Assumed Knowledge This lab assumes use of the Electric

More information

Prototype of SRAM by Sergey Kononov, et al.

Prototype of SRAM by Sergey Kononov, et al. Prototype of SRAM by Sergey Kononov, et al. 1. Project Overview The goal of the project is to create a SRAM memory layout that provides maximum utilization of the space on the 1.5 by 1.5 mm chip. Significant

More information

IMPLEMENTATION OF LOW POWER AREA EFFICIENT ALU WITH LOW POWER FULL ADDER USING MICROWIND DSCH3

IMPLEMENTATION OF LOW POWER AREA EFFICIENT ALU WITH LOW POWER FULL ADDER USING MICROWIND DSCH3 IMPLEMENTATION OF LOW POWER AREA EFFICIENT ALU WITH LOW POWER FULL ADDER USING MICROWIND DSCH3 Ritafaria D 1, Thallapalli Saibaba 2 Assistant Professor, CJITS, Janagoan, T.S, India Abstract In this paper

More information

Monotonic Static CMOS and Dual V T Technology

Monotonic Static CMOS and Dual V T Technology Monotonic Static CMOS and Dual V T Technology Tyler Thorp, Gin Yee and Carl Sechen Department of Electrical Engineering University of Wasngton, Seattle, WA 98195 {thorp,gsyee,sechen}@twolf.ee.wasngton.edu

More information

Design Methodologies and Tools. Full-Custom Design

Design Methodologies and Tools. Full-Custom Design Design Methodologies and Tools Design styles Full-custom design Standard-cell design Programmable logic Gate arrays and field-programmable gate arrays (FPGAs) Sea of gates System-on-a-chip (embedded cores)

More information

Logic Circuits II ECE 2411 Thursday 4:45pm-7:20pm. Lecture 3

Logic Circuits II ECE 2411 Thursday 4:45pm-7:20pm. Lecture 3 Logic Circuits II ECE 2411 Thursday 4:45pm-7:20pm Lecture 3 Lecture 3 Topics Covered: Chapter 4 Discuss Sequential logic Verilog Coding Introduce Sequential coding Further review of Combinational Verilog

More information

: : (91-44) (Office) (91-44) (Residence)

:  : (91-44) (Office) (91-44) (Residence) Course: VLSI Circuits (Video Course) Faculty Coordinator(s) : Prof. S. Srinivasan Department of Electrical Engineering Indian Institute of Technology Madras Chennai 600036 Email Telephone : srinis@iitm.ac.in,

More information

Code No: R Set No. 1

Code No: R Set No. 1 Code No: R059210504 Set No. 1 II B.Tech I Semester Regular Examinations, November 2006 DIGITAL LOGIC DESIGN ( Common to Computer Science & Engineering, Information Technology and Computer Science & Systems

More information

Principles of Digital Techniques PDT (17320) Assignment No State advantages of digital system over analog system.

Principles of Digital Techniques PDT (17320) Assignment No State advantages of digital system over analog system. Assignment No. 1 1. State advantages of digital system over analog system. 2. Convert following numbers a. (138.56) 10 = (?) 2 = (?) 8 = (?) 16 b. (1110011.011) 2 = (?) 10 = (?) 8 = (?) 16 c. (3004.06)

More information

BHARATHIDASAN ENGINEERING COLLEGE Degree / Branch : B.E./ECE Year / Sem : II/ III Sub.Code / Name : EC6302/DIGITAL ELECTRONICS

BHARATHIDASAN ENGINEERING COLLEGE Degree / Branch : B.E./ECE Year / Sem : II/ III Sub.Code / Name : EC6302/DIGITAL ELECTRONICS BHARATHIDASAN ENGINEERING COLLEGE Degree / Branch : B.E./ECE Year / Sem : II/ III Sub.Code / Name : EC6302/DIGITAL ELECTRONICS FREQUENTLY ASKED QUESTIONS UNIT I MINIMIZATION TECHNIQUES AND LOGIC GATES

More information

Lay ay ut Design g R ules

Lay ay ut Design g R ules HPTER 5: Layout esign Rules Introduction ny circuit physical mask layout must conform to a set of geometric constraints or rules called as Layout esign rules before it can be manufactured using particular

More information

Power IC 용 ESD 보호기술. 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea

Power IC 용 ESD 보호기술. 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea Power IC 용 ESD 보호기술 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea yskoo@dankook.ac.kr 031-8005-3625 Outline Introduction Basic Concept of ESD Protection Circuit ESD Technology Issue

More information

Process technology and introduction to physical

Process technology and introduction to physical Neuromorphic Engineering II Lab 3, Spring 2014 1 Lab 3 March 10, 2014 Process technology and introduction to physical layout Today you will start to learn to use the Virtuoso layout editor XL which is

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 2 (p2) Fault Modeling (Chapter 4) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Previous lecture What are the different

More information

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017 Design of Low Power Adder in ALU Using Flexible Charge Recycling Dynamic Circuit Pallavi Mamidala 1 K. Anil kumar 2 mamidalapallavi@gmail.com 1 anilkumar10436@gmail.com 2 1 Assistant Professor, Dept of

More information

FABRICATION TECHNOLOGIES

FABRICATION TECHNOLOGIES FABRICATION TECHNOLOGIES DSP Processor Design Approaches Full custom Standard cell** higher performance lower energy (power) lower per-part cost Gate array* FPGA* Programmable DSP Programmable general

More information

THE latest generation of microprocessors uses a combination

THE latest generation of microprocessors uses a combination 1254 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 30, NO. 11, NOVEMBER 1995 A 14-Port 3.8-ns 116-Word 64-b Read-Renaming Register File Creigton Asato Abstract A 116-word by 64-b register file for a 154 MHz

More information

FPGA Programming Technology

FPGA Programming Technology FPGA Programming Technology Static RAM: This Xilinx SRAM configuration cell is constructed from two cross-coupled inverters and uses a standard CMOS process. The configuration cell drives the gates of

More information

+1 (479)

+1 (479) Memory Courtesy of Dr. Daehyun Lim@WSU, Dr. Harris@HMC, Dr. Shmuel Wimer@BIU and Dr. Choi@PSU http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Memory Arrays Memory Arrays Random Access Memory Serial

More information

Overview. CSE372 Digital Systems Organization and Design Lab. Hardware CAD. Two Types of Chips

Overview. CSE372 Digital Systems Organization and Design Lab. Hardware CAD. Two Types of Chips Overview CSE372 Digital Systems Organization and Design Lab Prof. Milo Martin Unit 5: Hardware Synthesis CAD (Computer Aided Design) Use computers to design computers Virtuous cycle Architectural-level,

More information

ECE 331: N0. Professor Andrew Mason Michigan State University. Opening Remarks

ECE 331: N0. Professor Andrew Mason Michigan State University. Opening Remarks ECE 331: N0 ECE230 Review Professor Andrew Mason Michigan State University Spring 2013 1.1 Announcements Opening Remarks HW1 due next Mon Labs begin in week 4 No class next-next Mon MLK Day ECE230 Review

More information

Lecture 28 IEEE JTAG Boundary Scan Standard

Lecture 28 IEEE JTAG Boundary Scan Standard Lecture 28 IEEE 49. JTAG Boundary Scan Standard Motivation Bed-of-nails tester System view of boundary scan hardware Elementary scan cell Test Access Port (TAP) controller Boundary scan instructions Summary

More information

EITF35: Introduction to Structured VLSI Design

EITF35: Introduction to Structured VLSI Design EITF35: Introduction to Structured VLSI Design Part 1.1.2: Introduction (Digital VLSI Systems) Liang Liu liang.liu@eit.lth.se 1 Outline Why Digital? History & Roadmap Device Technology & Platforms System

More information

Digital Design Methodology

Digital Design Methodology Digital Design Methodology Prof. Soo-Ik Chae Digital System Designs and Practices Using Verilog HDL and FPGAs @ 2008, John Wiley 1-1 Digital Design Methodology (Added) Design Methodology Design Specification

More information

TOPIC : Verilog Synthesis examples. Module 4.3 : Verilog synthesis

TOPIC : Verilog Synthesis examples. Module 4.3 : Verilog synthesis TOPIC : Verilog Synthesis examples Module 4.3 : Verilog synthesis Example : 4-bit magnitude comptarator Discuss synthesis of a 4-bit magnitude comparator to understand each step in the synthesis flow.

More information

Graphics: Alexandra Nolte, Gesine Marwedel, Universität Dortmund. RTL Synthesis

Graphics: Alexandra Nolte, Gesine Marwedel, Universität Dortmund. RTL Synthesis Graphics: Alexandra Nolte, Gesine Marwedel, 2003 Universität Dortmund RTL Synthesis Purpose of HDLs Purpose of Hardware Description Languages: Capture design in Register Transfer Language form i.e. All

More information

INTERCONNECT TESTING WITH BOUNDARY SCAN

INTERCONNECT TESTING WITH BOUNDARY SCAN INTERCONNECT TESTING WITH BOUNDARY SCAN Paul Wagner Honeywell, Inc. Solid State Electronics Division 12001 State Highway 55 Plymouth, Minnesota 55441 Abstract Boundary scan is a structured design technique

More information

Synthesis at different abstraction levels

Synthesis at different abstraction levels Synthesis at different abstraction levels System Level Synthesis Clustering. Communication synthesis. High-Level Synthesis Resource or time constrained scheduling Resource allocation. Binding Register-Transfer

More information

Integrated Circuits & Systems

Integrated Circuits & Systems Federal University of Santa Catarina Center for Technology Computer Science & Electronics Engineering Integrated Circuits & Systems INE 5442 Lecture 23-1 guntzel@inf.ufsc.br Semiconductor Memory Classification

More information

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 09, 2016 ISSN (online): 2321-0613 A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM Yogit

More information

Lecture 11: MOS Memory

Lecture 11: MOS Memory Lecture 11: MOS Memory MAH, AEN EE271 Lecture 11 1 Memory Reading W&E 8.3.1-8.3.2 - Memory Design Introduction Memories are one of the most useful VLSI building blocks. One reason for their utility is

More information

CONTENTS CHAPTER 1: NUMBER SYSTEM. Foreword...(vii) Preface... (ix) Acknowledgement... (xi) About the Author...(xxiii)

CONTENTS CHAPTER 1: NUMBER SYSTEM. Foreword...(vii) Preface... (ix) Acknowledgement... (xi) About the Author...(xxiii) CONTENTS Foreword...(vii) Preface... (ix) Acknowledgement... (xi) About the Author...(xxiii) CHAPTER 1: NUMBER SYSTEM 1.1 Digital Electronics... 1 1.1.1 Introduction... 1 1.1.2 Advantages of Digital Systems...

More information

CHAPTER 12 ARRAY SUBSYSTEMS [ ] MANJARI S. KULKARNI

CHAPTER 12 ARRAY SUBSYSTEMS [ ] MANJARI S. KULKARNI CHAPTER 2 ARRAY SUBSYSTEMS [2.4-2.9] MANJARI S. KULKARNI OVERVIEW Array classification Non volatile memory Design and Layout Read-Only Memory (ROM) Pseudo nmos and NAND ROMs Programmable ROMS PROMS, EPROMs,

More information

Introduction. Sungho Kang. Yonsei University

Introduction. Sungho Kang. Yonsei University Introduction Sungho Kang Yonsei University Outline VLSI Design Styles Overview of Optimal Logic Synthesis Model Graph Algorithm and Complexity Asymptotic Complexity Brief Summary of MOS Device Behavior

More information

Chapter 9. Design for Testability

Chapter 9. Design for Testability Chapter 9 Design for Testability Testability CUT = Circuit Under Test A design property that allows: cost-effective development of tests to be applied to the CUT determining the status of the CUT (normal

More information

Lecture 13: SRAM. Slides courtesy of Deming Chen. Slides based on the initial set from David Harris. 4th Ed.

Lecture 13: SRAM. Slides courtesy of Deming Chen. Slides based on the initial set from David Harris. 4th Ed. Lecture 13: SRAM Slides courtesy of Deming Chen Slides based on the initial set from David Harris CMOS VLSI Design Outline Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitry Multiple Ports

More information

Contents 1 Basic of Test and Role of HDLs 2 Verilog HDL for Design and Test

Contents 1 Basic of Test and Role of HDLs 2 Verilog HDL for Design and Test 1 Basic of Test and Role of HDLs... 1.1 Design and Test... 1.1.1 RTL Design Process... 1.1.2 Postmanufacturing Test... 1.2 Test Concerns... 1.2.1 Test Methods... 1.2.2 Testability Methods... 1.2.3 Testing

More information

Copyright 2000 N. AYDIN. All rights reserved. 1

Copyright 2000 N. AYDIN. All rights reserved. 1 Electronic Circuits Prof. Nizamettin AYDIN naydin@yildiz.edu.tr http://www.yildiz.edu.tr/~naydin Dr. Gökhan Bilgin gokhanb@ce.yildiz.edu.tr Digital devices Introduction Gate characteristics Logic families

More information

Code No: R Set No. 1

Code No: R Set No. 1 Code No: R059210504 Set No. 1 II B.Tech I Semester Supplementary Examinations, February 2007 DIGITAL LOGIC DESIGN ( Common to Computer Science & Engineering, Information Technology and Computer Science

More information