Columbia Univerity Department of Electrical Engineering Fall, 2004

Size: px
Start display at page:

Download "Columbia Univerity Department of Electrical Engineering Fall, 2004"

Transcription

1 Columbia Univerity Department of Electrical Engineering Fall, 2004 Course: EE E4321. VLSI Circuits. Instructor: Ken Shepard Office: 1019 CEPSR Office hours: MW 4:00-5:00 PM Text: Weste and Harris, CMOS VLSI Design, Third Edition, Please note that it is rare for me to lecture out of the book. The treatment of the material in class may differ in order, content, or delivery from that in the textbook. Class webpage: Lab: VLSI CAD Lab Mudd Building. This course will make use of industry-standard CAD tools from Cadence, Mentor, and Synopsys, supported for this course on the Linux platform. Cadence Composer for schematic entry Cadence Virtuoso for layout design Synopsys HSPICE for circuit simulation Mentor Calibre for layout verification Cadence Assura for extraction. The target technology for this course is TSMC s CMOS process. 1

2 References: The following books, which you might find useful as references, are on reserve in the Engineering Library: Grading: Rabaey, Chandrakasan, and Nikolic, Digital Integrated Circuits: A Design Perspective, Prentice-Hall. Glasser and Dobberpuhl, The Design and Analysis of VLSI Circuits, Addison- Wesley. Uyemura, Circuit Design for CMOS VLSI, Kluwer. Bernstein, Carrig, Durham, Hansen, Hogenmiller, Nowak, and Rohrer, High Speed CMOS Design Styles, Kluwer. Y. Taur and T. H. Ning, Fundamentals of Modern VLSI Devices, Cambridge University Press. Chandrakasan, Bowhill, and Fox (editors), Design of high-performance microprocessor circuits, IEEE Press. Sutherland, Sproull, and Harris, Logical effort: designing fast CMOS circuits, Morgan Kaufmann. Harris, Skew-Tolerant Circuit Design, Morgan Kaufmann. Problem Sets: 20% Midterm: 20% Mini-design project: 20% Final Exam: 40% Topics 2

3 Introduction to VLSI Design (1 Lecture) Reading assignment: W&H, Chapter 1 (Rabaey, Chapter 1) History of integrated circuits and technology scaling Levels of abstraction and the complexity of design Challenges of VLSI design: power, timing, area, noise, testability, reliability and yield CAD tools: simulation, layout, synthesis, test, data management Semiconductor device physics and MOS modelling (2 Lectures) Reading assignment: W&H, Sections , Chapter 5 (Rabaey, Chapter 3) pn junction models MOS device models MOS capacitors Short-channel effects and velocity saturation Introduction to BSIM3v3 device models Scaling of MOS circuits Fabrication and layout (3 Lectures) Reading assignment: W&H, Sections , 3.5, 8.8 (Rabaey, Chapter 2) VLSI fabrication technology Layout view Layout CAD tools, Cadence Virtuoso, and the TSMC 0.25 layers Design rules: resolution rules and alignment rules TSMC 0.25 design rules, and learning to read a design manual 3

4 Stick diagrams, layout planning, and size estimation The CMOS inverter (2 Lectures) Reading assignment: W&H, Section 2.5 (Rabaey, Chapter 5) What makes a good logic gate? Voltage transfer characteristic (dc behavior) Switching behavior Noise margins and power dissipation Static and dynamic CMOS combinational logic gate, capacitance, and switchlevel modelling (3 Lectures) Reading assignment: W&H, Sections , Chapter 6 (Rabaey, Chapter 6) Transistor sizing in static CMOS, logical effort Pass-transistor logic, sizing issues in pass-transistor design Domino logic gates Rules of thumb for estimating load capacitance Simple delay models (RC) for CMOS gates Power consumption Switch-level simulation models Latches and clocking (3 Lectures) Reading assignment: W&H, Chapter 7, Section 12.5 (Rabaey, Chapter 7, 10) Flip-flops versus latches Set-up and hold tests 4

5 Static and dynamic latch and flip-flop circuits Clock design and clock skew Pulse-mode clocking, two-phase clocking Static timing analysis Midterm: October 20. Datapath functional units (2 Lectures) Reading assignment: W&H, Chapter 10 (Rabaey, Chapter 11) Adders Shifters Multipliers Control logic strategies (2 Lectures) Reading assignment: W&H, Sections 8.4, 11.7 (Rabaey, Chapter 8) PLAs Multi-level logic implementations Synthesis and place-and-route CAD MOS memories (2 Lectures) Reading assignment: W&H, Sections (Rabaey, Chapter 12) Register files SRAM DRAM 5

6 Global interconnect modelling (2 Lectures) Reading assignment: W&H, Sections (Rabaey, Chapter 4, 9) Capacitance, resistance, and inductance of interconnect Signal and power-supply integrity issues Electromigration RC interconnect modelling Driving large capacitive load, reducing RC delays Structured layout design (2 Lectures) Structured bitslice layout Standard-cell layout Chip layout and floorplanning Array layout Other implementation issues (2 Lectures) Design for testability, W&H, Chapter 9 Packaging technology, W&H, Section 12.2 I/O issues: ESD protection, boundary scan, inductance, synchronization, W&H, Section 12.4 Mini-design project due: December 13 Final exam 6

EE 434 ASIC & Digital Systems

EE 434 ASIC & Digital Systems EE 434 ASIC & Digital Systems Dae Hyun Kim EECS Washington State University Spring 2018 Course Website http://eecs.wsu.edu/~ee434 Themes Study how to design, analyze, and test a complex applicationspecific

More information

The Microprocessor as a Microcosm:

The Microprocessor as a Microcosm: The Microprocessor as a Microcosm: A Hands-On Approach to VLSI Design Education David Harris David_Harris@hmc.edu November 2002 Harvey Mudd College Claremont, CA Outline Introduction Course Organization

More information

Academic Course Description. VL2001 Digital System Design using Verilog First Semester, (Odd semester)

Academic Course Description. VL2001 Digital System Design using Verilog First Semester, (Odd semester) Academic Course Description SRM University Faculty of Engineering and Technology Department of Electronics and Communication Engineering VL2001 Digital System Design using Verilog First Semester, 2015-16(Odd

More information

What is this class all about?

What is this class all about? EE141-Fall 2012 Digital Integrated Circuits Instructor: Elad Alon TuTh 11-12:30pm 247 Cory 1 What is this class all about? Introduction to digital integrated circuit design engineering Will describe models

More information

What is this class all about?

What is this class all about? EE141-Fall 2007 Digital Integrated Circuits Instructor: Elad Alon TuTh 3:30-5pm 155 Donner 1 1 What is this class all about? Introduction to digital integrated circuit design engineering Will describe

More information

EE586 VLSI Design. Partha Pande School of EECS Washington State University

EE586 VLSI Design. Partha Pande School of EECS Washington State University EE586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 1 (Introduction) Why is designing digital ICs different today than it was before? Will it change in

More information

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 1: Introduction to VLSI Technology Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Course Objectives

More information

What is this class all about?

What is this class all about? -Fall 2004 Digital Integrated Circuits Instructor: Borivoje Nikolić TuTh 3:30-5 247 Cory EECS141 1 What is this class all about? Introduction to digital integrated circuits. CMOS devices and manufacturing

More information

ECE 637 Integrated VLSI Circuits. Introduction. Introduction EE141

ECE 637 Integrated VLSI Circuits. Introduction. Introduction EE141 ECE 637 Integrated VLSI Circuits Introduction EE141 1 Introduction Course Details Instructor Mohab Anis; manis@vlsi.uwaterloo.ca Text Digital Integrated Circuits, Jan Rabaey, Prentice Hall, 2 nd edition

More information

SIDDHARTH INSTITUTE OF ENGINEERING AND TECHNOLOGY :: PUTTUR (AUTONOMOUS) Siddharth Nagar, Narayanavanam Road QUESTION BANK UNIT I

SIDDHARTH INSTITUTE OF ENGINEERING AND TECHNOLOGY :: PUTTUR (AUTONOMOUS) Siddharth Nagar, Narayanavanam Road QUESTION BANK UNIT I SIDDHARTH INSTITUTE OF ENGINEERING AND TECHNOLOGY :: PUTTUR (AUTONOMOUS) Siddharth Nagar, Narayanavanam Road 517583 QUESTION BANK Subject with Code : DICD (16EC5703) Year & Sem: I-M.Tech & I-Sem Course

More information

Curtis Nelson. Walla Walla College. Introduction CMOS VLSI Design

Curtis Nelson. Walla Walla College. Introduction CMOS VLSI Design Curtis Nelson Walla Walla College Slide 1 Course organization History of the integrated circuit Trends in the semiconductor industry System design versus custom chip design Top down design Bottom-up implementation

More information

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation Course Goals Lab Understand key components in VLSI designs Become familiar with design tools (Cadence) Understand design flows Understand behavioral, structural, and physical specifications Be able to

More information

EE3032 Introduction to VLSI Design

EE3032 Introduction to VLSI Design EE3032 Introduction to VLSI Design Jin-Fu Li Advanced Reliable Systems (ARES) Lab. Department of Electrical Engineering National Central University Jhongli, Taiwan Contents Syllabus Introduction to CMOS

More information

Digital Integrated Circuits

Digital Integrated Circuits Digital Integrated Circuits EE141 Fall 2005 Tu & Th 11-12:30 203 McLaughlin What is This Class About? Introduction to Digital Integrated Circuits Introduction: Issues in digital design CMOS devices and

More information

EE141- Spring 2007 Introduction to Digital Integrated Circuits

EE141- Spring 2007 Introduction to Digital Integrated Circuits - Spring 2007 Introduction to Digital Integrated Circuits Tu-Th 5pm-6:30pm 150 GSPP 1 What is this class about? Introduction to digital integrated circuits.» CMOS devices and manufacturing technology.

More information

EEC 116 Fall 2011 Lab #3: Digital Simulation Tutorial

EEC 116 Fall 2011 Lab #3: Digital Simulation Tutorial EEC 116 Fall 2011 Lab #3: Digital Simulation Tutorial Dept. of Electrical and Computer Engineering University of California, Davis Issued: October 10, 2011 Due: October 19, 2011, 4PM Reading: Rabaey Insert

More information

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) Objective Part A: To become acquainted with Spectre (or HSpice) by simulating an inverter,

More information

Elettronica T moduli I e II

Elettronica T moduli I e II Elettronica T moduli I e II Docenti: Massimo Lanzoni, Igor Loi Massimo.lanzoni@unibo.it igor.loi@unibo.it A.A. 2015/2016 Scheduling MOD 1 (Prof. Loi) Weeks 39,40,41,42, 43,44» MOS transistors» Digital

More information

EE141- Spring 2002 Introduction to Digital Integrated Circuits. What is this class about?

EE141- Spring 2002 Introduction to Digital Integrated Circuits. What is this class about? - Spring 2002 Introduction to Digital Integrated Circuits Tu-Th 9:30-am 203 McLaughlin What is this class about? Introduction to digital integrated circuits.» CMOS devices and manufacturing technology.

More information

CMPEN 411 VLSI Digital Circuits. Lecture 01: Introduction

CMPEN 411 VLSI Digital Circuits. Lecture 01: Introduction CMPEN 411 VLSI Digital Circuits Kyusun Choi Lecture 01: Introduction CMPEN 411 Course Website link at: http://www.cse.psu.edu/~kyusun/teach/teach.html [Adapted from Rabaey s Digital Integrated Circuits,

More information

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Practical Information

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Practical Information EE24 - Spring 2000 Advanced Digital Integrated Circuits Tu-Th 2:00 3:30pm 203 McLaughlin Practical Information Instructor: Borivoje Nikolic 570 Cory Hall, 3-9297, bora@eecs.berkeley.edu Office hours: TuTh

More information

EE141- Spring 2004 Introduction to Digital Integrated Circuits. What is this class about?

EE141- Spring 2004 Introduction to Digital Integrated Circuits. What is this class about? - Spring 2004 Introduction to Digital Integrated Circuits Tu-Th am-2:30pm 203 McLaughlin What is this class about? Introduction to digital integrated circuits.» CMOS devices and manufacturing technology.

More information

HOMEWORK 7 CMPEN 411 Due: 3/22/ :30pm

HOMEWORK 7 CMPEN 411 Due: 3/22/ :30pm HOMEWORK 7 CMPEN 411 Due: 3/22/2016 11:30pm Learning Objective Use the VLSI CAD tools to design and implement the SRAM consisting of 32 words, 16 bit per word, and analyze it. (This SRAM will be used as

More information

CAD for VLSI. Debdeep Mukhopadhyay IIT Madras

CAD for VLSI. Debdeep Mukhopadhyay IIT Madras CAD for VLSI Debdeep Mukhopadhyay IIT Madras Tentative Syllabus Overall perspective of VLSI Design MOS switch and CMOS, MOS based logic design, the CMOS logic styles, Pass Transistors Introduction to Verilog

More information

E 4.20 Introduction to Digital Integrated Circuit Design

E 4.20 Introduction to Digital Integrated Circuit Design E 4.20 Introduction to Digital Integrated Circuit Design Peter Cheung Department of Electrical & Electronic Engineering Imperial College London URL: www.ee.ic.ac.uk/pcheung/ E-mail: p.cheung@imperial.ac.uk

More information

Lecture #1: Introduction

Lecture #1: Introduction Lecture #1: Introduction Kunle Olukotun Stanford EE183 January 8, 20023 What is EE183? EE183 is continuation of EE121 Digital Logic Design is a a minute to learn, a lifetime to master Programmable logic

More information

Lecture #1. Teach you how to make sure your circuit works Do you want your transistor to be the one that screws up a 1 billion transistor chip?

Lecture #1. Teach you how to make sure your circuit works Do you want your transistor to be the one that screws up a 1 billion transistor chip? Instructor: Jan Rabaey EECS141 1 Introduction to digital integrated circuit design engineering Will describe models and key concepts needed to be a good digital IC designer Models allow us to reason about

More information

Sense Amplifiers 6 T Cell. M PC is the precharge transistor whose purpose is to force the latch to operate at the unstable point.

Sense Amplifiers 6 T Cell. M PC is the precharge transistor whose purpose is to force the latch to operate at the unstable point. Announcements (Crude) notes for switching speed example from lecture last week posted. Schedule Final Project demo with TAs. Written project report to include written evaluation section. Send me suggestions

More information

HOMEWORK 2 CMPEN 411 Due: 1/31/ :30pm

HOMEWORK 2 CMPEN 411 Due: 1/31/ :30pm HOMEWORK 2 CMPEN 411 Due: 1/31/2011 11:30pm Learning Objective Learn the VLSI CAD tools and chip design concepts by designing 8-bit Ripple Carry Adder (RCA). Instruction Design 8-bit Ripple Carry Adder

More information

Jin-Fu Li. Department of Electrical Engineering. Jhongli, Taiwan

Jin-Fu Li. Department of Electrical Engineering. Jhongli, Taiwan EEA001 VLSI Design Jin-Fu Li Advanced Reliable Systems (ARES) Lab. Department of Electrical Engineering National Central University Jhongli, Taiwan Contents Syllabus Introduction to CMOS Circuits MOS Transistor

More information

An Overview of Standard Cell Based Digital VLSI Design

An Overview of Standard Cell Based Digital VLSI Design An Overview of Standard Cell Based Digital VLSI Design With examples taken from the implementation of the 36-core AsAP1 chip and the 1000-core KiloCore chip Zhiyi Yu, Tinoosh Mohsenin, Aaron Stillmaker,

More information

Standard Cell Library Design and Characterization using 45nm technology

Standard Cell Library Design and Characterization using 45nm technology IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 1, Ver. I (Jan. 2014), PP 29-33 e-issn: 2319 4200, p-issn No. : 2319 4197 Standard Cell Library Design and Characterization using

More information

Cmos Vlsi Design Weste Solution Manual

Cmos Vlsi Design Weste Solution Manual CMOS VLSI DESIGN WESTE SOLUTION MANUAL PDF - Are you looking for cmos vlsi design weste solution manual Books? Now, you will be happy that at this time cmos vlsi design weste solution manual PDF is available

More information

High-Performance Full Adders Using an Alternative Logic Structure

High-Performance Full Adders Using an Alternative Logic Structure Term Project EE619 High-Performance Full Adders Using an Alternative Logic Structure by Atulya Shivam Shree (10327172) Raghav Gupta (10327553) Department of Electrical Engineering, Indian Institure Technology,

More information

! Design Methodologies. " Hierarchy, Modularity, Regularity, Locality. ! Implementation Methodologies. " Custom, Semi-Custom (cell-based, array-based)

! Design Methodologies.  Hierarchy, Modularity, Regularity, Locality. ! Implementation Methodologies.  Custom, Semi-Custom (cell-based, array-based) ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 23: April 12, 2016 VLSI Design and Variation Lecture Outline Design Methodologies Hierarchy, Modularity, Regularity, Locality Implementation

More information

Dual Port SRAM. Research Article. Rajeshwari Mathapati a*, Geetanjali Kamble a and S.K.Shirakol a

Dual Port SRAM. Research Article. Rajeshwari Mathapati a*, Geetanjali Kamble a and S.K.Shirakol a International Journal of Current Engineering and Technology ISSN 2277 4106 2013 INPRESSCO. All Rights Reserved Available at http://inpressco.com/category/ijcet Research Article Dual Port SRAM Rajeshwari

More information

More Course Information

More Course Information More Course Information Labs and lectures are both important Labs: cover more on hands-on design/tool/flow issues Lectures: important in terms of basic concepts and fundamentals Do well in labs Do well

More information

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES DIGITAL DESIGN TECHNOLOGY & TECHNIQUES CAD for ASIC Design 1 INTEGRATED CIRCUITS (IC) An integrated circuit (IC) consists complex electronic circuitries and their interconnections. William Shockley et

More information

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Digital Integrated Circuits A Design Perspective Jan M. Rabaey Outline (approximate) Introduction and Motivation The VLSI Design Process Details of the MOS Transistor Device Fabrication Design Rules CMOS

More information

VLSI Chip Design Project TSEK06

VLSI Chip Design Project TSEK06 VLSI Chip Design Project TSEK06 Project Description and Requirement Specification Version 1.0 Project: A -Bit Kogge-Stone Adder Project number: 1 Project Group: Name Project members Telephone E-mail Project

More information

EE5780 Advanced VLSI CAD

EE5780 Advanced VLSI CAD EE5780 Advanced VLSI CAD Lecture 1 Introduction Zhuo Feng 1.1 Prof. Zhuo Feng Office: EERC 513 Phone: 487-3116 Email: zhuofeng@mtu.edu Class Website http://www.ece.mtu.edu/~zhuofeng/ee5780fall2013.html

More information

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University EE 466/586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 18 Implementation Methods The Design Productivity Challenge Logic Transistors per Chip (K) 10,000,000.10m

More information

CMPEN 411. Spring Lecture 01: Introduction

CMPEN 411. Spring Lecture 01: Introduction Kyusun Choi CMPEN 411 VLSI Digital Circuits Spring 2009 Lecture 01: Introduction Course Website: http://www.cse.psu.edu/~kyusun/class/cmpen411/09s/index.html [Adapted from Rabaey s Digital Integrated Circuits,

More information

ECE 156A - Syllabus. Description

ECE 156A - Syllabus. Description ECE 156A - Syllabus Lecture 0 ECE 156A 1 Description Introduction to HDL (Hardware Description Language) basic elements, HDL simulation concepts, HDL concurrent statements with examples and applications,

More information

EE115C Spring 2013 Digital Electronic Circuits. Mon & Wed 8:00-9:50am BH 5249

EE115C Spring 2013 Digital Electronic Circuits. Mon & Wed 8:00-9:50am BH 5249 EE115C Spring 2013 Digital Electronic Circuits Mon & Wed 8:00-9:50am BH 5249 Topics Covered: Introduction to Digital ICs Current equations and parasitic effects of MOS devices Technology and layout of

More information

Design of Parallel Self-Timed Adder

Design of Parallel Self-Timed Adder Design of Parallel Self-Timed Adder P.S.PAWAR 1, K.N.KASAT 2 1PG, Dept of EEE, PRMCEAM, Badnera, Amravati, MS, India. 2Assistant Professor, Dept of EXTC, PRMCEAM, Badnera, Amravati, MS, India. ---------------------------------------------------------------------***---------------------------------------------------------------------

More information

Sketch A Transistor-level Schematic Of A Cmos 3-input Xor Gate

Sketch A Transistor-level Schematic Of A Cmos 3-input Xor Gate Sketch A Transistor-level Schematic Of A Cmos 3-input Xor Gate DE09 DIGITALS ELECTRONICS 3 (For Mod-m Counter, we need N flip-flops (High speeds are possible in ECL because the transistors are used in

More information

ECE484 VLSI Digital Circuits Fall Lecture 01: Introduction

ECE484 VLSI Digital Circuits Fall Lecture 01: Introduction ECE484 VLSI Digital Circuits Fall 2017 Lecture 01: Introduction Adapted from slides provided by Mary Jane Irwin. [Adapted from Rabaey s Digital Integrated Circuits, 2002, J. Rabaey et al.] CSE477 L01 Introduction.1

More information

ECE410 Design Project Spring 2013 Design and Characterization of a CMOS 8-bit pipelined Microprocessor Data Path

ECE410 Design Project Spring 2013 Design and Characterization of a CMOS 8-bit pipelined Microprocessor Data Path ECE410 Design Project Spring 2013 Design and Characterization of a CMOS 8-bit pipelined Microprocessor Data Path Project Summary This project involves the schematic and layout design of an 8-bit microprocessor

More information

EEC 118 Spring 2011 Lab #5 Manchester Carry-Chain Adder

EEC 118 Spring 2011 Lab #5 Manchester Carry-Chain Adder EEC 118 Spring 2011 Lab #5 Manchester Carry-Chain Adder Rajeevan Amirtharajah Dept. of Electrical and Computer Engineering University of California, Davis Issued: May 9, 2011 Due: May 20, 2011, 5 PM in

More information

Lecture Outline. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Course Topics Overview. Learning Objectives.

Lecture Outline. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Course Topics Overview. Learning Objectives. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 1: January 17, 2019 Introduction and Overview Lecture Outline Course Topics Overview Learning Objectives Course Structure Course Policies

More information

EE 4755 Digital Design Using Hardware Description Languages

EE 4755 Digital Design Using Hardware Description Languages EE 4755 Digital Design Using Hardware Description Languages Basic Information URL: http://www.ece.lsu.edu/v Offered by: David M. Koppelman, Room 3316R P. F. Taylor Hall 578-5482. koppel@ece.lsu.edu, http://www.ece.lsu.edu/koppel/koppel.html

More information

A 65nm LEVEL-1 CACHE FOR MOBILE APPLICATIONS

A 65nm LEVEL-1 CACHE FOR MOBILE APPLICATIONS A 65nm LEVEL-1 CACHE FOR MOBILE APPLICATIONS ABSTRACT We describe L1 cache designed for digital signal processor (DSP) core. The cache is 32KB with variable associativity (4 to 16 ways) and is pseudo-dual-ported.

More information

FABRICATION TECHNOLOGIES

FABRICATION TECHNOLOGIES FABRICATION TECHNOLOGIES DSP Processor Design Approaches Full custom Standard cell** higher performance lower energy (power) lower per-part cost Gate array* FPGA* Programmable DSP Programmable general

More information

High Performance Memory Read Using Cross-Coupled Pull-up Circuitry

High Performance Memory Read Using Cross-Coupled Pull-up Circuitry High Performance Memory Read Using Cross-Coupled Pull-up Circuitry Katie Blomster and José G. Delgado-Frias School of Electrical Engineering and Computer Science Washington State University Pullman, WA

More information

EE 4755 Digital Design Using Hardware Description Languages

EE 4755 Digital Design Using Hardware Description Languages EE 4755 Digital Design Using Hardware Description Languages Basic Information URL: http://www.ece.lsu.edu/v Offered by: David M. Koppelman, Room 345 ERAD Building 578-5482. koppel@ece.lsu.edu, http://www.ece.lsu.edu/koppel/koppel.html

More information

EE-382M VLSI II. Early Design Planning: Front End

EE-382M VLSI II. Early Design Planning: Front End EE-382M VLSI II Early Design Planning: Front End Mark McDermott EE 382M-8 VLSI-2 Page Foil # 1 1 EDP Objectives Get designers thinking about physical implementation while doing the architecture design.

More information

An overview of standard cell based digital VLSI design

An overview of standard cell based digital VLSI design An overview of standard cell based digital VLSI design Implementation of the first generation AsAP processor Zhiyi Yu and Tinoosh Mohsenin VCL Laboratory UC Davis Outline Overview of standard cellbased

More information

ECE 3220 Digital Design with VHDL. Course Information. Lecture 1

ECE 3220 Digital Design with VHDL. Course Information. Lecture 1 ECE 3220 Digital Design with VHDL Course Information Lecture 1 Course Information Course #: ECE 3220 Course Name: Digital Design with VHDL Course Instructor: Dr. Vida Vakilian Email: vvakilian@csub.edu

More information

Digital Systems. Semiconductor memories. Departamentul de Bazele Electronicii

Digital Systems. Semiconductor memories. Departamentul de Bazele Electronicii Digital Systems Semiconductor memories Departamentul de Bazele Electronicii Outline ROM memories ROM memories PROM memories EPROM memories EEPROM, Flash, MLC memories Applications with ROM memories extending

More information

Design Methodologies. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic.

Design Methodologies. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Design Methodologies December 10, 2002 L o g i c T r a n s i s t o r s p e r C h i p ( K ) 1 9 8 1 1

More information

TOPIC : Verilog Synthesis examples. Module 4.3 : Verilog synthesis

TOPIC : Verilog Synthesis examples. Module 4.3 : Verilog synthesis TOPIC : Verilog Synthesis examples Module 4.3 : Verilog synthesis Example : 4-bit magnitude comptarator Discuss synthesis of a 4-bit magnitude comparator to understand each step in the synthesis flow.

More information

Lecture 13: Memory and Programmable Logic

Lecture 13: Memory and Programmable Logic Lecture 13: Memory and Programmable Logic Syed M. Mahmud, Ph.D ECE Department Wayne State University Aby K George, ECE Department, Wayne State University Contents Introduction Random Access Memory Memory

More information

Physical Implementation

Physical Implementation CS250 VLSI Systems Design Fall 2009 John Wawrzynek, Krste Asanovic, with John Lazzaro Physical Implementation Outline Standard cell back-end place and route tools make layout mostly automatic. However,

More information

Testing & Verification of Digital Circuits ECE/CS 5745/6745. Hardware Verification using Symbolic Computation

Testing & Verification of Digital Circuits ECE/CS 5745/6745. Hardware Verification using Symbolic Computation Testing & Verification of Digital Circuits ECE/CS 5745/6745 Hardware Verification using Symbolic Computation Instructor: Priyank Kalla (kalla@ece.utah.edu) 3 Credits Mon, Wed 1:25-2:45pm, WEB 2250 Office

More information

ASIC Physical Design Top-Level Chip Layout

ASIC Physical Design Top-Level Chip Layout ASIC Physical Design Top-Level Chip Layout References: M. Smith, Application Specific Integrated Circuits, Chap. 16 Cadence Virtuoso User Manual Top-level IC design process Typically done before individual

More information

Design Methodologies

Design Methodologies Design Methodologies 1981 1983 1985 1987 1989 1991 1993 1995 1997 1999 2001 2003 2005 2007 2009 Complexity Productivity (K) Trans./Staff - Mo. Productivity Trends Logic Transistor per Chip (M) 10,000 0.1

More information

Integrated Circuits & Systems

Integrated Circuits & Systems Federal University of Santa Catarina Center for Technology Computer Science & Electronics Engineering Integrated Circuits & Systems INE 5442 Lecture 23-1 guntzel@inf.ufsc.br Semiconductor Memory Classification

More information

SIDDHARTH INSTITUTE OF ENGINEERING AND TECHNOLOGY :: PUTTUR (AUTONOMOUS) Siddharth Nagar, Narayanavanam Road QUESTION BANK

SIDDHARTH INSTITUTE OF ENGINEERING AND TECHNOLOGY :: PUTTUR (AUTONOMOUS) Siddharth Nagar, Narayanavanam Road QUESTION BANK SIDDHARTH INSTITUTE OF ENGINEERING AND TECHNOLOGY :: PUTTUR (AUTONOMOUS) Siddharth Nagar, Narayanavanam Road 517583 QUESTION BANK Subject with Code :VLSI Technology(16EC5701) Course & Branch: M.Tech (ES,

More information

Design of Low Power SRAM in 45 nm CMOS Technology

Design of Low Power SRAM in 45 nm CMOS Technology Design of Low Power SRAM in 45 nm CMOS Technology K.Dhanumjaya Dr.MN.Giri Prasad Dr.K.Padmaraju Dr.M.Raja Reddy Research Scholar, Professor, JNTUCE, Professor, Asst vise-president, JNTU Anantapur, Anantapur,

More information

ECE 261: Full Custom VLSI Design

ECE 261: Full Custom VLSI Design ECE 261: Full Custom VLSI Design Prof. James Morizio Dept. Electrical and Computer Engineering Hudson Hall Ph: 201-7759 E-mail: jmorizio@ee.duke.edu URL: http://www.ee.duke.edu/~jmorizio Course URL: http://www.ee.duke.edu/~jmorizio/ece261/261.html

More information

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets.

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Clock Routing Problem Formulation Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Better to develop specialized routers for these nets.

More information

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture 01 Introduction Welcome to the course on Hardware

More information

Digital Design Methodology (Revisited) Design Methodology: Big Picture

Digital Design Methodology (Revisited) Design Methodology: Big Picture Digital Design Methodology (Revisited) Design Methodology Design Specification Verification Synthesis Technology Options Full Custom VLSI Standard Cell ASIC FPGA CS 150 Fall 2005 - Lec #25 Design Methodology

More information

Digital System Design with SystemVerilog

Digital System Design with SystemVerilog Digital System Design with SystemVerilog Mark Zwolinski AAddison-Wesley Upper Saddle River, NJ Boston Indianapolis San Francisco New York Toronto Montreal London Munich Paris Madrid Capetown Sydney Tokyo

More information

Introduction to ICs and Transistor Fundamentals

Introduction to ICs and Transistor Fundamentals Introduction to ICs and Transistor Fundamentals A Brief History 1958: First integrated circuit Flip-flop using two transistors Built by Jack Kilby at Texas Instruments 2003 Intel Pentium 4 mprocessor (55

More information

ECE 5745 Complex Digital ASIC Design Topic 7: Packaging, Power Distribution, Clocking, and I/O

ECE 5745 Complex Digital ASIC Design Topic 7: Packaging, Power Distribution, Clocking, and I/O ECE 5745 Complex Digital ASIC Design Topic 7: Packaging, Power Distribution, Clocking, and I/O Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5745

More information

Introduction to CMOS VLSI Design (E158) Lecture 7: Synthesis and Floorplanning

Introduction to CMOS VLSI Design (E158) Lecture 7: Synthesis and Floorplanning Harris Introduction to CMOS VLSI Design (E158) Lecture 7: Synthesis and Floorplanning David Harris Harvey Mudd College David_Harris@hmc.edu Based on EE271 developed by Mark Horowitz, Stanford University

More information

High-Performance System Design. Prof. Vojin G. Oklobdzija

High-Performance System Design. Prof. Vojin G. Oklobdzija High-Performance System Design Prof. Vojin G. Oklobdzija Overview of the course Requirements: Knowledge of CMOS digital circuits Basic knowledge of analog circuits Knowledge of Logic Design Textbook: High-Performance

More information

ECE Mixed-Signal Design and Modeling Course Syllabus Fall 2017

ECE Mixed-Signal Design and Modeling Course Syllabus Fall 2017 ECE585-001 Mixed-Signal Design and Modeling Course Syllabus Fall 2017 Instructor: Dr. George L. Engel Phone: (618) 650-2806 Office: Email: URLs: Engineering Building EB3043 gengel@siue.edu http://www.siue.edu/~gengel

More information

Design and Implementation of Low Leakage Power SRAM System Using Full Stack Asymmetric SRAM

Design and Implementation of Low Leakage Power SRAM System Using Full Stack Asymmetric SRAM Design and Implementation of Low Leakage Power SRAM System Using Full Stack Asymmetric SRAM Rajlaxmi Belavadi 1, Pramod Kumar.T 1, Obaleppa. R. Dasar 2, Narmada. S 2, Rajani. H. P 3 PG Student, Department

More information

Column decoder using PTL for memory

Column decoder using PTL for memory IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735. Volume 5, Issue 4 (Mar. - Apr. 2013), PP 07-14 Column decoder using PTL for memory M.Manimaraboopathy

More information

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Hardware Design Environments Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Outline Welcome to COE 405 Digital System Design Design Domains and Levels of Abstractions Synthesis

More information

ECE 595Z Digital Systems Design Automation

ECE 595Z Digital Systems Design Automation ECE 595Z Digital Systems Design Automation Anand Raghunathan, raghunathan@purdue.edu How do you design chips with over 1 Billion transistors? Human designer capability grows far slower than Moore s law!

More information

Lab 2. Standard Cell layout.

Lab 2. Standard Cell layout. Lab 2. Standard Cell layout. The purpose of this lab is to demonstrate CMOS-standard cell design. Use the lab instructions and the cadence manual (http://www.es.lth.se/ugradcourses/cadsys/cadence.html)

More information

EEC 116 Fall 2011 Lab #1 Cadence Schematic Capture and Layout Tutorial

EEC 116 Fall 2011 Lab #1 Cadence Schematic Capture and Layout Tutorial EEC 116 Fall 2011 Lab #1 Cadence Schematic Capture and Layout Tutorial Dept. of Electrical and Computer Engineering University of California, Davis September 26, 2011 Reading: Rabaey Chapters 1, 2, A,

More information

10. Interconnects in CMOS Technology

10. Interconnects in CMOS Technology 10. Interconnects in CMOS Technology 1 10. Interconnects in CMOS Technology Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 October

More information

01-1 Electronic Design Automation (EDA) The use of software to automate electronic (digital and analog) design.

01-1 Electronic Design Automation (EDA) The use of software to automate electronic (digital and analog) design. 01-1 Electronic Design Automation (EDA) 01-1 Electronic Design Automation (EDA): (Short Definition) The use of software to automate electronic (digital and analog) design. Electronic Design Automation

More information

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function.

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function. FPGA Logic block of an FPGA can be configured in such a way that it can provide functionality as simple as that of transistor or as complex as that of a microprocessor. It can used to implement different

More information

VLSI Design Automation

VLSI Design Automation 943/U0220 & #901/60010 VLSI Design Automation 張耀文 Yao-Wen Chang ywchang@cc.ee.ntu.edu.tw http://cc.ee.ntu.edu.tw/~ywchang Graduate Institute of Electronics Engineering Department of Electrical Engineering

More information

FPGA Programming Technology

FPGA Programming Technology FPGA Programming Technology Static RAM: This Xilinx SRAM configuration cell is constructed from two cross-coupled inverters and uses a standard CMOS process. The configuration cell drives the gates of

More information

Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design

Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design Wei-Jin Dai, Dennis Huang, Chin-Chih Chang, Michel Courtoy Cadence Design Systems, Inc. Abstract A design methodology for the implementation

More information

ECE 636. Reconfigurable Computing. Lecture 2. Field Programmable Gate Arrays I

ECE 636. Reconfigurable Computing. Lecture 2. Field Programmable Gate Arrays I ECE 636 Reconfigurable Computing Lecture 2 Field Programmable Gate Arrays I Overview Anti-fuse and EEPROM-based devices Contemporary SRAM devices - Wiring - Embedded New trends - Single-driver wiring -

More information

ELCT 503: Semiconductors. Fall Lecture 01: Introduction

ELCT 503: Semiconductors. Fall Lecture 01: Introduction ELCT503 Semiconductors Fall 2014 Lecture 01: Introduction Dr. Hassan Mostafa د. حسن مصطفى hmostafa@aucegypt.edu Course Outline Course objectives This course is basically about the major microelectronics

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

Evolution of Implementation Technologies. ECE 4211/5211 Rapid Prototyping with FPGAs. Gate Array Technology (IBM s) Programmable Logic

Evolution of Implementation Technologies. ECE 4211/5211 Rapid Prototyping with FPGAs. Gate Array Technology (IBM s) Programmable Logic ECE 42/52 Rapid Prototyping with FPGAs Dr. Charlie Wang Department of Electrical and Computer Engineering University of Colorado at Colorado Springs Evolution of Implementation Technologies Discrete devices:

More information

MEMORIES. Memories. EEC 116, B. Baas 3

MEMORIES. Memories. EEC 116, B. Baas 3 MEMORIES Memories VLSI memories can be classified as belonging to one of two major categories: Individual registers, single bit, or foreground memories Clocked: Transparent latches and Flip-flops Unclocked:

More information

Announcements. Midterm 2 next Thursday, 6-7:30pm, 277 Cory Review session on Tuesday, 6-7:30pm, 277 Cory Homework 8 due next Tuesday Labs: project

Announcements. Midterm 2 next Thursday, 6-7:30pm, 277 Cory Review session on Tuesday, 6-7:30pm, 277 Cory Homework 8 due next Tuesday Labs: project - Fall 2002 Lecture 20 Synthesis Sequential Logic Announcements Midterm 2 next Thursday, 6-7:30pm, 277 Cory Review session on Tuesday, 6-7:30pm, 277 Cory Homework 8 due next Tuesday Labs: project» Teams

More information

Programmable Logic Devices II

Programmable Logic Devices II São José February 2015 Prof. Hoeller, Prof. Moecke (http://www.sj.ifsc.edu.br) 1 / 28 Lecture 01: Complexity Management and the Design of Complex Digital Systems Prof. Arliones Hoeller arliones.hoeller@ifsc.edu.br

More information

Prof. Steven Nowick. Chair, Computer Engineering Program

Prof. Steven Nowick. Chair, Computer Engineering Program Prof. Steven Nowick (nowick@cs.columbia.edu) Chair, Computer Engineering Program Overview of 4000-/6000-Level Comp Eng Courses Selective survey of some key computer engineering courses Focus: COMS (i.e.

More information