Test and Testability Techniques for Open Defects in RAM Address Decoders

Size: px
Start display at page:

Download "Test and Testability Techniques for Open Defects in RAM Address Decoders"

Transcription

1 Test and Testability Techniques for Open Defects in RM ddress Decoders Manoj Sachdev WY 4.1; Philips Research Laboratories, Prof. Holst laan 4; 5656 Eindhoven; The Netherlands; bstract It is a prevalent assumption that all RM address decoder defects can be modelled as RM array faults influencing one or more RM cells. Therefore, can be implicitly detected by testing the RM matrix with the march tests. Recently, we came across some failures in embedded SRMs which were not detected by the march tests. The carried out analysis demonstrated the presence of open defects in address decoders that can not be modelled as the conventional coupling faults, therefore, are not detected by the march tests. In this article, we present the test and testability strategies for such hard-to-detect open defects. 1 Introduction Random ccess Memories (RMs) are often tested by carrying out so-called March Tests over all its addresses [1]. march test consists of individual march elements. march element traverses through all RM addresses and performs a specified combination of read and write operations. For example, in a typical march element, each RM address location is first read and then the complemented data value is written back. ll march elements put together should cover all likely faults in a given RM. ll likely RM faults are compiled into what is known as RM Fault Model. test algorithm is evolved which covers the given fault model. The overall complexity of the test algorithm is determined by the total number of memory operations in all march elements performed over any given RM address. The test complexity of march tests is linear with respect to the address space, hence, they are also referred as linear algorithms. Test algorithms, like 13N, 6N, 9N [2,3,4] are some of the examples of march tests. The conventional wisdom suggests that RM decoder defects can be mapped as RM array faults which can be tested by testing the array [5,6]. Hence, no special test is needed for the address decoders. However, recently we came across some open defects in RM address decoders that were not detected by linear test algorithms and resulted in field failures. This development prompted us to look into occurrence of open defects in RM address decoders. Open defects or transistor stuck-open faults are known to cause sequential behavior in MOS circuits and require a 2-pattern test sequence for their detection [7]. The transistor stuck-open testability has received a considerable attention [7,8,9]. number of DfT solutions for stuck-open testing has been proposed [8,9]. However, application of these solutions over RM decoders due to performance/ area constraints is not likely. Furthermore, such faults are not directly observable. One has to excite them in such a way that these faults are detected through read operation over the RM. Finally, owing to the constraints of addressing sequence, the detection of open defects by march tests is not ensured. ll these reasons put together, on one hand, render existing stuck-open DfT solutions for RM decoders impractical and on the other hand, make testing of such faults a new challenge. In this article, we examine the occurrence of open defects in RM address decoders and propose test and testability strategies for their detection. In the following section, a short review of the assumption of implicit RM address decoder testing is presented. In Section 3, we share the results of the conducted failure analysis over an embedded SRM failure and explain why such defects (faults) are not detected by march tests. Section 4 is devoted to open defects in a generic address decoder. test solution for hard-to-detect open defects is proposed. In Section 5, layout level DfT measures are proposed (i) to reduce the probability of occurrence of hard-to-detect open defects in address decoders, and (ii) to simplify their detection. Furthermore, logic level DfT measures are proposed that build fault tolerance in the address decoder. The decoder functions correctly in spite of hard-to-detect open defects. Finally, in the last section conclusions are drawn. 2 Previous work RMs enjoy a strategic position in the microelectronics industry. In many respects, RM testing is different from the testing of conventional logic. RMs are the largest and densest circuits produced. Small feature size and huge chip size result in an enormous critical area for defects [10]. High complexity and defect sensitivity have pushed the RM test costs to the extreme. To cope with the economic and qualitative issues, a variety of test solutions have been proposed. n interested reader is referred to [11,12] for an overview of RM test practices. vast majority of the research on RM testing was fo- ED&T /96 $ IEEE

2 cused on development of better, efficient test algorithms for a variety of fault models. These fault models included from simple Stuck-t Faults (SFs) to complex pattern sensitive faults in the RM array. However, little attention was paid to the faults in the address decoders or other RM building blocks. ddress decoder faults were assumed to be tested implicitly. n address decoder is a combinational circuit that selects a unique RM cell for each given RM address. ssuming that the faulty address decoder does not become sequential in operation, Thatte and braham [5] proposed that a faulty address decoder will behave in one of the following manners: The decoder will not access the addressed cell. In addition, it may access non-addressed cell(s) Wordline decoder olumn decoder 111 RM matrix The decoder will access multiple cells, including the addressed cell In the case of multiple access, the fault is viewed as RM matrix coupling fault between different cells. In the case of no access, the cell is viewed as either stuck-at 0 or stuck-at 1. In simple terms, decoder faults manifest themselves as RM matrix faults which are tested by the conventional algorithms. However, the above mentioned study was conducted over a NMOS decoder. The open defects in NMOS address decoders cause a logic stuck-at behavior. The same is not entirely true in the case of MOS address decoder. Therefore, those open defects which do not cause the stuck-at behavior escape the detection by the conventional tests. 3 Failure and nalysis The undetected faults in address decoders can be explained with the help of Fig. 1. The figure depicts a part of an embedded SRM block diagram, showing only the matrix and row and column decoders. n actual failure mechanism in an embedded SRM is illustrated with the help of three cells,,, and respectively. The addresses, (--0), of these cells are ; ; and respectively. The considered SRM has 256 addresses (8-bits) and word size is also 8-bit wide. Different bits are not close to each other, so there is no possibility of intra-word coupling faults. ddress bits,6,,4, and 3 decode the word lines and the rest of the bits select the column (or bit) line. ell is the cell that fails conditionally. Following are the symptoms of the failure as observed: 1. Write address ( ) with logic Write address ( ) with logic Read address : result is logic 1, which is correct. Observation: RM behaves normally, because address input 4 has changed. Fig. 1: graphical representation of the failure. 4. Write address ( ) with logic Read address : result is logic 0, which is wrong!! Observation: the failure occurs, because none of the address inputs 3, 4 or has changed. The read operation on cell yields wrong data value only if between write and read operations over cell, some address bits (,4 and 3) are kept unchanged. If any of these bits are changed, then the read operation over cell yields the expected data value. Furthermore, the fault is completely data independent. The failure does not write data into another cell, it seems to be a read only error. 3.1 nalysis From the above mentioned failure symptoms following deductions are made: 1. ll three cells have the same column address (111). 2. The cell yields a read failure when address bits,4,3 are kept unchanged. 3. The fault causes only the read failure in cell and do not influence other cells in any manner. 4. The fault is not detected by the 6N SRM test algorithm. The first deduction suggests that when cell is enabled after cell access, somehow cell is also enabled (or it is not disabled). ell is controlled by the corresponding wordline. onsider a situation when wordlines as well are enabled. If the complimentary data (cell ) is written into cell, the same is written in cell as well. Hence, a subsequent read operation on cell results in a read failure. The second deduction makes clear that it is not the

3 3 PHIX itline W RM cell itline DD Initial. March 1 March 2 0 Wr(0) R(0),W(1) R(1),W(0),R(0) 1 Wr(0) R(0),W(1) N-1 Wr(0) R(0),W(1) R(1),W(0),R(0) R(1),W(0),R(0) Open defect 6 W W Fig. 2: part of the wordline decoder and RM matrix. case with all cells on the same column. The cell is sensitive only when address bits,4, and 3 are not changed. The third deduction strengthens the first one stating only read on cell is affected by the defect mechanism. There are two possible explanations that match the above mentioned symptoms and deductions: (i) The wordline of cell is also enabled when wordline of cell is enabled, and (ii) The wordline of cell is not disabled when cell is enabled. The first possibility is very unlikely. However, it could be caused by (a) a decoder design error or by (b) a low resistive bridging fault between wordlines of cells and. The decoder design error is ruled out since a large number of devices should be failing under the test conditions. The low resistive bridging fault explanation also seems to be unlikely since, the fault should be bidirectional. Moreover, such a defect is a typical case of a decoder fault mapped onto the matrix coupling fault which should be detected by 6N test algorithm. Therefore, the possibility that wordline of cell is not disabled when cell is selected is the likely cause. The argument that cell is not disabled can be explained with the help of Fig. 2 which illustrates a part of the wordline address decoding logic and corresponding bitlines. Fig. 2 does not show the wordline drivers and input buffers. The wordline decoder has a 5-bit address. The address bits are buffered and their true and complement values are generated. The address decoding is achieved with the help of 4-input NND gates. Subsequently, 3-input NOR gates 6N Test lgorithm Fig. 3: The 6N SRM march test algorithm. decode the outputs of NND gates with address bit 6. periodic, timing signal, PHIX, forms the third input of these NOR gates. The outputs of these NOR gates are buffered to drive the wordlines. Let us assume for a moment that the NND gate in the wordline decoder which decodes cell has an open defect such that a p-channel transistor having as its input is disconnected from VDD. Now, let us once again try to repeat the experiment carried out earlier. It is very easy to notice that all the steps and observations can be re-created with the defect on cell. In a decoder constructed with NND gates, all logic high inputs cause n-channel transistors to be in conduction mode and hence enables the particular wordline. For example, 1111 on,,4,3 and 0 on 6 select the wordline corresponding to cell. However, the disabling on that particular path can take place by four (or depending upon the fan-in of the NND gate) paths. Supposing if one of the paths has an open defect, the wordline can not be pulled high (disabled) through that path. If the wordline is disabled through the faulty path (for example, by selecting cell ), two cells are selected at the same time. Therefore, a write operation over another selected cell is also performed over cell. Now, if a read is performed over cell, depending on the original stored data value and new data value, a fault is detected. However, if cell is accessed after cell a parallel p-channel path in the faulty NND gate is able to disable the corresponding wordline and the fault is not activated. The subsequent analysis demonstrated the transistor had a stuck-open fault. Such a fault can be caused by several defects. missing contact between Source (Drain) diffusion and Metal1 is the most likely cause. n open defect in the metalization layer, step coverage problem, defects causing transistor Stuck-Open fault are other possibilities. 3.2 Why Non-detection by March Tests The question is why the fault is not detected by the 6N march test and how should we detect such failures.the 6N test algorithm is shown in Fig. 3. It is a popular and time tested algorithm used within Philips for SRM testing [3].

4 First, the RM is initialized with logic 0. Subsequently, March1 reads the initialized value and writes logic 1 in each RM cell in ascending address order. The following binary address after wordline (address 10110) is 10111, which modifies the 3 bit. Hence, wordline is disabled like a fault-free case (Fig. 2). In other words, the fault is neither activated nor detected in March1. Similarly, the fault is not detected in March2. The March2 is in descending address order. fter the wordline is activated, the next wordline address (descending order, 10101) which modifies 4 and 3 bits. s a result wordline is disabled once again and the fault is not detected. This type of a fault can only be detected by a march test (or a linear algorithm), if the next wordline address causes the fault to be activated in at least one march direction, and keep it activated till it is detected by a read operation over the cell. Now, depending upon the original and over written data values, the defect can be detected. However, this condition can not be met for all such open defects in NND gates. Therefore, most of such defects are not detected by march tests. It can be further argued that no linear test will detect such defects since due to such defects, the address decoder is changed into a faulty sequential circuit which in general requires a two pattern test, namely fault sensitising and evaluation [7]. The basic assumption about the address decoders that under the faulty conditions, they remain combinational is violated. This is a generic problem with decoders implemented with static MOS logic gates. In the situations where decoders are implemented with dynamic logic (or NMOS) such faulty conditions may not arise. 4 Open Defects in ddress Decoders Linear algorithm will not detect such open defects in address decoders and even the non-linear (nlogn -- O(n 2 )) algorithms do not ensure decoder open fault detection. In fact, the RM test economics does not allow application of non-linear test algorithms [13]. significant effort is made to employ I DDQ based test methods to reduce RM test costs [14]. Furthermore, the rising quality demands expect effective testing of the Is. Therefore, to systematically detect decoder open faults, we outline a procedure below considering a generic address decoder. 4.1 Open Defects in an ddress Decoder Only a subset of all open defects in an address decoder are not detected by march tests. Hence, it is logical to analyse which of the open defects are not likely to be detected by the march tests and devise a test only for those open defects. For this purpose, we take a row decoder of an em PHIX 11 Fig. 4: typical wordline address decoder. WL63 WL62 WL61 WL60 WL03 WL02 WL01 WL00 bedded RM (Fig. 4). The decoder decodes a 6-bit address (10 -- ) to 64 wordlines. ddress bit 11 determines the selected quadrant and PHIX is a periodic timing signal which controls the timing of the wordline (X) address. In this decoder, instead of 4-input NND gates 32 5-input NND gates are utilized and the 6th bit () is further decoded by 64 2-input NOR gates. The open defects in an address decoder may occur either between the logic gates (inter-gate) or inside the logic gate (intra-gate). Defects 1, 2 in Fig. 4 are representative of the inter-gate class. The inter-gate open defects cause a break in an interconnect line. Owing to this class of defects, at least one RM cell can not be addressed, hence, appears to have a stuck-at fault [5,6]. In other words, inter-gate open defects do not cause sequential behavior and its impact is detected by march tests such as the 6N algorithm. However, intra-gate open defects (defects 3, 4) are difficult to detect because they may influence only a single transistor. Hence, may result in the sequential behavior. If an intra-gate open defect disconnects all paths between the output and VDD (VSS), it effectively causes an output S0 (S1) fault and is detected by the march test. However, if an open defect disconnects only one of the paths between the output and VDD (VSS), it causes the sequential behavior. Let us assume defect 3 causes a n-channel transistor in a 2- input NOR gate to be disconnected from VSS. Since, there is only one other n-channel transistor in parallel to the defective transistor, the defect will be detected either by the ascending march (March1) or the descending march (March2) in the address space depending which of the transistors is faulty. The condition of this detection is that

5 the inputs of the faulty gate should be changed in gray code manner. Therefore, in this case address bit 11 and decoded 10-- bits should change in the gray code manner. The situation becomes grave as the number of inputs in a gate rise to three or more. With the reasoning of the previous section, it can be concluded that detection of all open defects in a 3 (or more) input logic gate is not guaranteed by march test. s it can be observed in this particular example, that at least 3 open defects in each of the 5-input NND gates will not be detected (the other 2 open defects will be detected by descending or ascending march elements). There are 32 such NND gates in the decoder giving rise to 96 undetected faults. 4.2 Supplementary Test lgorithm small algorithmic loop is appended to the 6N algorithm to detect address decoder stuck-open faults. However, this algorithmic loop is specific to an address decoder and is independent of the 6N algorithm. Hence, it can be added to any other test algorithm. Let us assume that M is the number of input bits of the wordline decoder and the number of wordlines equals 2 M. To test the row decoding logic we have the freedom to select any arbitrary column address for read and write operations. In the following algorithm we set the column address to 0. s explained before the least significant bit (in Fig. 4, bit ) can be ignored and remains 0 during the test. To test for the hard-to-detect opens, the NND gates in the decoding logic should be tested in a sequential manner. For each NND gate a logic 0 is written to the selected cell (say D) by the corresponding wordline (remember that bit is set to 0). Subsequently, the wordline address is changed such that only one address bit is changed (let us say 6). This will allow the particular NND gate to be disabled by a particular p-channel transistor in the NND gate. Now, the logic 1 is written in the new address location (say E). If the above mentioned p-channel transistor had an open defect, the cell D is still enabled and the write operation over cell E can also over write the content in cell D. subsequent read operation over cell D will detect a read failure and hence the open defect. This is repeated for all address bits to NND gates and for all NND gates. For example, for the 5-input NND gate in Fig. 4 with defect 4 (shaded) following test sequence can be applied: 1a. Keep Y decoder address constant, 1b. keep =0 and 11 (if available)=0 2a. Let = 00000, Write(1); 2b = 00001, Write(0); 2c = 00000, Read(1); 2d = 00010, Write(0); 2e = 00000, Read(1); 2f = 00100, Write(0); 2g = 00000, Read(1); 2h = 01000, Write(0); 2i = 00000, Read(1); 2j = 10000, Write(0); 2k = 00000, Read(1); In general, an algorithm for a given address decoder can be evolved which can be supplemented to any RM test algorithm. For the address decoder in Fig. 4, an algorithm is shown below: In the algorithm description the address values in the read and write operations correspond to the binary code at the input bits of the wordline decoder (10986). The algorithm becomes: 1.0 olumn_address := For Xor_gate := 0 To 2 (M-1) Do 2.2 ase_address = 2 * Xor_gate 2.3 Write 0 to the address ase_address 2.4 For k := 0 To M Do Write_address := 2 * (Xor_gate XOR binary 2 k ) Write 1 to address Write_address Read 0 from address ase_address End For 2.5 End For s can be determined from the algorithm, the inner loop will be executed M-1 times for each Xor_gate and consists of one write and one read operation. The main loop will be executed 2 (M-1) times and takes one extra write operation. This makes a total complexity of the algorithm as (2M- 1)x2 (M-1) read or write operations, where M is the number of input bits of the wordline decoder. To compare the complexity of the algorithm given above with the 6N algorithm we will consider a RM having 6 bits devoted to the column decoding and another 6 bits to wordline decoding. The 6N algorithm will take 6 x2 12 = 24,576 read or write operations. The algorithm given above will only take 11 x2 5 = 352 read or write operations. So the additional test complexity is less than 2% of the 6N test. It can be argued that similar open defects in the column decoder can also cause hard to detect faults. olumn decoders can also be analysed and a similar test algorithm can be devised. 5 Testability Techniques for Decoder Open Defects In the previous section we discussed the test escape problem arising due to open defects in the RM address de-

6 VDD Diffusion 10 WL63 WL62 Poly 9 WL61 ontact 8 WL60 Metal D VSS Fig. 5: Layout transformation of a 4-input NND gate for open defect testability. D 6 WL03 WL02 coders and evolved a test procedure to test such test escapes. In this section, we focus on the layout level testability measures to simplify the detection of such hard to detect open defects and on building fault tolerance through logical modifications. Such measures are best implemented while designing new address decoders. If these simple yet effective measures are implemented, the requirement for additional test is either completely eliminated or drastically reduced. However, the existing decoders without such measures will require the extra test procedure as proposed previously. 5.1 Layout measures The layout is probably the simplest and most effective method to reduce the occurrence of such open defects. The layout of the circuit affects the testability to a great extent. Simple layout modifications may reduce the occurrence of hard-to-detect faults, hence, easing the test generation. For example, Placement of multiple contacts at hard-to-detect defect locations (parallel transistors) in the decoder will make them robust against open defects. These layout techniques are well documented in the literature [15,16]. There is a need to implement such techniques in future RM decoder designs because (i) the decoder circuitry is implicitly tested by testing only the matrix, (ii) the RMs are often tested by linear algorithms which restrict the excitation of the decoder in a particular fashion so as to cover the fault model in small number of operations, and (iii) quality and economic issues. The layout measures are explained with the help of Fig. 5. The figure illustrates a switch graph representation of a 4- input NND gate. This transformation is similar to the one proposed by Levitt and braham [16]. In the unmodified layout an open at a contact can happen at any branch of a set of parallel transistors or metal line. simple test may not detect such an open defect, and all the parallel branches must be tested separately. ssuming that open defect probability due to a poor contact is relatively high compared to open defect probability due to a break in diffusion, the modified layout results in robust layout as well PHIX as simpler test generation for open defects. It was reported [16] that though area as well as delay of the transformed gate may increase fractionally, the number of hard to detect faults are reduced drastically. 5.2 Logical measures: building fault tolerance WL01 WL00 11 Fig.6: fault tolerant row decoder against hard to detect open defects. The layout level techniques, in principle, can reduce the occurrence of open defects in sensitive decoder locations but can not eliminate their occurrence completely. Therefore, in this subsection we propose building some kind of fault tolerance in the key decoder locations such that in spite of such a defect, the decoder as well as the RM functions correctly. The fault tolerance can be used together with the layout level transformations to enhance the robustness of the decoder as well as building the fault tolerance. Fig. 6 illustrates the concept of logical measures. This figure is the same as Fig. 4 except for the highlighted areas, an inverter and an added net shown by the thick broken line. From the earlier reasoning, we conclude that opens affecting only single p-channel transistors in 5- input NND gates are hard-to-detect. The p-channel network in NND gates provide the disabling paths to the wordlines (since a particular wordline is selected if and only if the output of the corresponding NND gate is logic 0). Therefore, an extra p-channel transistor can be added in each of the 5-input NND gates such that it provides an alternative path for wordline disabling such that before application of a new address all NND gates are disabled. In other words, no wordline is selected. corresponding n- channel transistor is also added to avoid logical conflicts and effectively making it a 6-input NND gate. The modified NND gates are shaded in the figure. The inputs of

7 these transistors are driven by the PHIX signal which activates the wordline address. Effectively, PHIX now gates address bits instead of and 11 (see Fig. 4). The extra inverter is needed to invert timing signal PHIX. In a decoder where 5-input NOR gates are utilised instead of NND gates, the extra inverter is not needed. s far as the logical functioning of the decoder is concerned, it is not changed. The design of the decoder can be optimized for correct timing without sacrificing the gains through the concept. Furthermore, highlighted 3-input NOR gates are reduced to 2-input ones. 6 onclusion March tests are popular for testing RMs. The test complexity of march tests is linear with respect to RM address space. Thatte et al. [5] suggested that RM decoder faults can be mapped as the RM matrix coupling faults. Hence, no special test is needed for address decoders. Therefore, most of the RM test algorithms, including march tests, do not test address decoders explicitly. Recently, we found that the above mentioned assumption is not valid for a certain class of open defects in the address decoders. These defects were not detected by march tests and resulted in field rejects. Typically, such defects cause a sequential behavior of the decoder and require a 2- pattern test. Owing to the constraints of addressing sequence, the detection of open defects by march tests is not ensured. In this article, we examined the occurrence of open defects in RM address decoders and propose test and DfT strategies for their detection. Open defects in address decoders can be divided into two broad segments: (i) inter-gate open defects, and (ii) intra-gate open defects. rguably, all inter-gate open defects will cause a SF behavior in the addressed cell(s) and therefore will be detected by march tests. Some of the intra-gate open defects cause the gate to have a sequential behavior. Such defects are identified and an algorithmic test solution is proposed. This test solution is specific to the organization of the decoder and for a given decoder results in a 2% increase in the test time. s DfT measures, a layout level and a logic level solutions are proposed. The layout level measure reduces the probability of occurrence of hard-to-detect open defects in the address decoder. Furthermore, the detection of such open defects is simplified. s the logic level measure, we utilize the address decoder clock to break the address decoder s faulty sequential behavior. s a result, the modified address decoder functions correctly in spite of the open defects. These DfT measures allow us to continue testing RMs with march tests. However, these measures cost a small additional area. References [1] D. S. Suk, S. M. Reddy, March Test for Functional Faults in Semiconductor Random ccess Memories, IEEE Transactions on omputers, Vol. -30, no. 12, Dec. 1981, pp. [2] R. Dekker, F. eenker, L. Thijssen, Fault Modeling and Test lgorithm Development for Static Random ccess Memories, Proc. of the IEEE International Test onference, 1988, pp [3] R. Meershoek,. Verhelst, R. McInerney and L. Thijssen, Functional and Iddq testing on a static RM, Proc. of the IEEE International Test onference, 1990, pp [4] M. Sachdev, M. Verstraelen, Development of a Fault Model and Test algorithms for Embedded DRMs, Proc. of the IEEE International Test onf., 1993, pp [5] S. M. Thatte, J.. braham, Testing of Semiconductor Random ccess Memories, Proceedings of International onference on Fault Tolerant omputing, pp , [6] R. Nair, S.M. Thatte, and J.. braham, Efficient algorithms for testing semiconductor random access memories, IEEE Trans. omputer, vol. -27, pp , June [7] S.K. Jain and V.D. grawal, Test Generation for MOS ircuits Using D-lgorithm, Proceeding of 20th Design utomation onference, 1983, pp [8] S.M. Reddy, M.K. Reddy, and J.G. Kuhl, On Testable Design for MOS Logic ircuits, Proceedings of International Test onference, 1983, [9].P. Jayasumana, Y.K. Malaiya, and R. Rajsuman, Design of MOS ircuits for Stuck-Open Fault Testability, IEEE Journal of Solid-State ircuits, vol. 26, No. 1, January 1991, pp [10].V. Ferris-Prabhu, omputation of the critical area in semiconductor yield theory, Proc. of the European onf. on Electronic Design utomation (ED84), Publication 232, pp [11].J. van de Goor, Testing Semiconductor Memories, Theory and Practice, John Wiley and Sons. [12].F. ockburn, Tutorial on Semiconductor Memory Testing, Journal of Electronic Testing: Theory and pplications, Vol. 5, No. 4, pp , Nov [13] M. Inoue, T. Yamada and. Fujiwara, New Testing cceleration hip for Low-ost Memory Test, IEEE Design and Test of computers, pp , March [14] M. Sachdev, Reducing the MOS RM Test omplexity with Voltage and IDDQ Testing, Journal of Electronic Testing: Theory and pplications (JETT), Vol. 6, No. 2, pp , pril [15] S. Koeppe, Optimal layout to avoid MOS stuck-open faults, Proceedings of 24th Design utomation onference, pp [16] M.E. Levitt, J.. braham, Physical Design of Testable VLSI: Techniques and Experiments, IEEE Journal of Solid State ircuits, Vol.25, No.2, pp , pril 1990.

RAM Testing Algorithms for Detection Multiple Linked Faults

RAM Testing Algorithms for Detection Multiple Linked Faults RAM Testing Algorithms for Detection Multiple Linked Faults V.G. Mikitjuk, V.N. Yarmolik, A.J. van de Goor* Belorussian State Univ. of Informatics and Radioelectronics, P.Brovki 6, Minsk, Belarus *Delft

More information

Digital VLSI Testing Prof. Santanu Chattopadhyay Department of Electronics and EC Engineering India Institute of Technology, Kharagpur.

Digital VLSI Testing Prof. Santanu Chattopadhyay Department of Electronics and EC Engineering India Institute of Technology, Kharagpur. Digital VLSI Testing Prof. Santanu Chattopadhyay Department of Electronics and EC Engineering India Institute of Technology, Kharagpur Lecture 05 DFT Next we will look into the topic design for testability,

More information

Diagnostic Testing of Embedded Memories Using BIST

Diagnostic Testing of Embedded Memories Using BIST Diagnostic Testing of Embedded Memories Using BIST Timothy J. Bergfeld Dirk Niggemeyer Elizabeth M. Rudnick Center for Reliable and High-Performance Computing, University of Illinois 1308 West Main Street,

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 10 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Content Manufacturing Defects Wafer defects Chip defects Board defects system defects

More information

Memory Arrays. Array Architecture. Chapter 16 Memory Circuits and Chapter 12 Array Subsystems from CMOS VLSI Design by Weste and Harris, 4 th Edition

Memory Arrays. Array Architecture. Chapter 16 Memory Circuits and Chapter 12 Array Subsystems from CMOS VLSI Design by Weste and Harris, 4 th Edition Chapter 6 Memory Circuits and Chapter rray Subsystems from CMOS VLSI Design by Weste and Harris, th Edition E E 80 Introduction to nalog and Digital VLSI Paul M. Furth New Mexico State University Static

More information

Metodologie di progetto HW Il test di circuiti digitali

Metodologie di progetto HW Il test di circuiti digitali Metodologie di progetto HW Il test di circuiti digitali Introduzione Versione del 9/4/8 Metodologie di progetto HW Il test di circuiti digitali Introduction VLSI Realization Process Customer s need Determine

More information

Complex test pattern generation for high speed fault diagnosis in Embedded SRAM

Complex test pattern generation for high speed fault diagnosis in Embedded SRAM Complex test pattern generation for high speed fault diagnosis in Embedded SRAM 1 Prasanna Kumari P., 2 Satyanarayana S. V. V., 3 Nagireddy S. 1, 3 Associate professor, 2 Master of Engineering, Teegala

More information

Metodologie di progetto HW Il test di circuiti digitali

Metodologie di progetto HW Il test di circuiti digitali Metodologie di progetto HW Il test di circuiti digitali Introduzione Versione del 9/4/8 Metodologie di progetto HW Il test di circuiti digitali Introduction Pag. 2 VLSI Realization Process Customer s need

More information

MULTI-NODE STATIC LOGIC IMPLICATIONS FOR REDUNDANCY IDENTIFICATION

MULTI-NODE STATIC LOGIC IMPLICATIONS FOR REDUNDANCY IDENTIFICATION MULTI-NODE STTI LOGI IMPLITIONS FOR REDUNDNY IDENTIFITION Kabir Gulrajani and Michael S. Hsiao Intel orporation, Dupont, W Department of Electrical and omputer Engineering, Rutgers University, Piscataway,

More information

Modeling and Simulation of Microcode-based Built-In Self Test for Multi-Operation Memory Test Algorithms

Modeling and Simulation of Microcode-based Built-In Self Test for Multi-Operation Memory Test Algorithms IJCSI International Journal of Computer Science Issues, Vol. 7, Issue 3,. 2, May 2010 36 Modeling and Simulation of Microcode-based Built-In Self Test for Multi-Operation Memory Test Algorithms Dr. R.K.

More information

Efficient Majority Logic Fault Detector/Corrector Using Euclidean Geometry Low Density Parity Check (EG-LDPC) Codes

Efficient Majority Logic Fault Detector/Corrector Using Euclidean Geometry Low Density Parity Check (EG-LDPC) Codes Efficient Majority Logic Fault Detector/Corrector Using Euclidean Geometry Low Density Parity Check (EG-LDPC) Codes 1 U.Rahila Begum, 2 V. Padmajothi 1 PG Student, 2 Assistant Professor 1 Department Of

More information

March Tests Improvement for Address Decoder Open and Resistive Open Fault Detection *

March Tests Improvement for Address Decoder Open and Resistive Open Fault Detection * March Tests Improvement for Address Decoder Open and Resistive Open Fault Detection * Luigi Dilillo Patrick Girard Serge Pravossoudovitch Arnaud Virazel Laboratoire d Informatique, de Robotique et de Microélectronique

More information

Chapter 6. CMOS Functional Cells

Chapter 6. CMOS Functional Cells Chapter 6 CMOS Functional Cells In the previous chapter we discussed methods of designing layout of logic gates and building blocks like transmission gates, multiplexers and tri-state inverters. In this

More information

Shift Invert Coding (SINV) for Low Power VLSI

Shift Invert Coding (SINV) for Low Power VLSI Shift Invert oding (SINV) for Low Power VLSI Jayapreetha Natesan* and Damu Radhakrishnan State University of New York Department of Electrical and omputer Engineering New Paltz, NY, U.S. email: natesa76@newpaltz.edu

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION Rapid advances in integrated circuit technology have made it possible to fabricate digital circuits with large number of devices on a single chip. The advantages of integrated circuits

More information

UNIT IV CMOS TESTING

UNIT IV CMOS TESTING UNIT IV CMOS TESTING 1. Mention the levels at which testing of a chip can be done? At the wafer level At the packaged-chip level At the board level At the system level In the field 2. What is meant by

More information

Improving Memory Repair by Selective Row Partitioning

Improving Memory Repair by Selective Row Partitioning 200 24th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems Improving Memory Repair by Selective Row Partitioning Muhammad Tauseef Rab, Asad Amin Bawa, and Nur A. Touba Computer

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 4(part 2) Testability Measurements (Chapter 6) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Previous lecture What

More information

AN EFFICIENT DESIGN OF VLSI ARCHITECTURE FOR FAULT DETECTION USING ORTHOGONAL LATIN SQUARES (OLS) CODES

AN EFFICIENT DESIGN OF VLSI ARCHITECTURE FOR FAULT DETECTION USING ORTHOGONAL LATIN SQUARES (OLS) CODES AN EFFICIENT DESIGN OF VLSI ARCHITECTURE FOR FAULT DETECTION USING ORTHOGONAL LATIN SQUARES (OLS) CODES S. SRINIVAS KUMAR *, R.BASAVARAJU ** * PG Scholar, Electronics and Communication Engineering, CRIT

More information

Design and verification of low power SRAM system: Backend approach

Design and verification of low power SRAM system: Backend approach Design and verification of low power SRAM system: Backend approach Yasmeen Saundatti, PROF.H.P.Rajani E&C Department, VTU University KLE College of Engineering and Technology, Udhayambag Belgaum -590008,

More information

Semiconductor Memory Classification. Today. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. CPU Memory Hierarchy.

Semiconductor Memory Classification. Today. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. CPU Memory Hierarchy. ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec : April 4, 7 Memory Overview, Memory Core Cells Today! Memory " Classification " ROM Memories " RAM Memory " Architecture " Memory core " SRAM

More information

Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks

Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks Charles Stroud, Ping Chen, Srinivasa Konala, Dept. of Electrical Engineering University of Kentucky and Miron Abramovici

More information

Lay ay ut Design g R ules

Lay ay ut Design g R ules HPTER 5: Layout esign Rules Introduction ny circuit physical mask layout must conform to a set of geometric constraints or rules called as Layout esign rules before it can be manufactured using particular

More information

Design and Implementation of Low Leakage Power SRAM System Using Full Stack Asymmetric SRAM

Design and Implementation of Low Leakage Power SRAM System Using Full Stack Asymmetric SRAM Design and Implementation of Low Leakage Power SRAM System Using Full Stack Asymmetric SRAM Rajlaxmi Belavadi 1, Pramod Kumar.T 1, Obaleppa. R. Dasar 2, Narmada. S 2, Rajani. H. P 3 PG Student, Department

More information

MEMORIES. Memories. EEC 116, B. Baas 3

MEMORIES. Memories. EEC 116, B. Baas 3 MEMORIES Memories VLSI memories can be classified as belonging to one of two major categories: Individual registers, single bit, or foreground memories Clocked: Transparent latches and Flip-flops Unclocked:

More information

Application of Binary Decision Diagram in digital circuit analysis.

Application of Binary Decision Diagram in digital circuit analysis. Application of Binary Decision Diagram in digital circuit analysis. Jyoti Kukreja University of Southern California For Dr. James Ellison Abstract: Binary Decision Diagrams (BDDs) are one of the biggest

More information

Computer-Based Project on VLSI Design Co 3/7

Computer-Based Project on VLSI Design Co 3/7 Computer-Based Project on VLSI Design Co 3/7 IC Layout and Symbolic Representation This pamphlet introduces the topic of IC layout in integrated circuit design and discusses the role of Design Rules and

More information

Spiral 2-8. Cell Layout

Spiral 2-8. Cell Layout 2-8.1 Spiral 2-8 Cell Layout 2-8.2 Learning Outcomes I understand how a digital circuit is composed of layers of materials forming transistors and wires I understand how each layer is expressed as geometric

More information

Driving Toward Higher I DDQ Test Quality for Sequential Circuits: A Generalized Fault Model and Its ATPG

Driving Toward Higher I DDQ Test Quality for Sequential Circuits: A Generalized Fault Model and Its ATPG Driving Toward Higher I DDQ Test Quality for Sequential Circuits: A Generalized Fault Model and Its ATPG Hisashi Kondo Kwang-Ting Cheng y Kawasaki Steel Corp., LSI Division Electrical and Computer Engineering

More information

High Performance Memory Read Using Cross-Coupled Pull-up Circuitry

High Performance Memory Read Using Cross-Coupled Pull-up Circuitry High Performance Memory Read Using Cross-Coupled Pull-up Circuitry Katie Blomster and José G. Delgado-Frias School of Electrical Engineering and Computer Science Washington State University Pullman, WA

More information

INTERCONNECT TESTING WITH BOUNDARY SCAN

INTERCONNECT TESTING WITH BOUNDARY SCAN INTERCONNECT TESTING WITH BOUNDARY SCAN Paul Wagner Honeywell, Inc. Solid State Electronics Division 12001 State Highway 55 Plymouth, Minnesota 55441 Abstract Boundary scan is a structured design technique

More information

Minimizing Power Dissipation during Write Operation to Register Files

Minimizing Power Dissipation during Write Operation to Register Files Minimizing Power Dissipation during Operation to Register Files Abstract - This paper presents a power reduction mechanism for the write operation in register files (RegFiles), which adds a conditional

More information

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 09, 2016 ISSN (online): 2321-0613 A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM Yogit

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 8(2) I DDQ Current Testing (Chapter 13) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Learning aims Describe the

More information

On the Efficient Implementation of Pipelined Heaps for Network Processing

On the Efficient Implementation of Pipelined Heaps for Network Processing On the Efficient Implementation of Pipelined Heaps for Network Processing Hao Wang ill in University of alifornia, San iego, a Jolla, 92093 0407. Email {wanghao,billlin}@ucsd.edu bstract Priority queues

More information

Semiconductor Memory Classification

Semiconductor Memory Classification ESE37: Circuit-Level Modeling, Design, and Optimization for Digital Systems Lec 6: November, 7 Memory Overview Today! Memory " Classification " Architecture " Memory core " Periphery (time permitting)!

More information

ECE 156B Fault Model and Fault Simulation

ECE 156B Fault Model and Fault Simulation ECE 156B Fault Model and Fault Simulation Lecture 6 ECE 156B 1 What is a fault A fault is a hypothesis of what may go wrong in the manufacturing process In fact, a fault model is not trying to model the

More information

An enhanced barrel shifter based BIST scheme for word organized RAMs (EBBSR).

An enhanced barrel shifter based BIST scheme for word organized RAMs (EBBSR). An enhanced barrel shifter based BIST scheme for word organized RAMs (EBBSR). M.leela vinoth krishnan Depatment of Electronics and Communication, CEG-Anna university, Chennai, INDIA. Krishnan7_ece@yahoo.co.in.

More information

Introduction to SRAM. Jasur Hanbaba

Introduction to SRAM. Jasur Hanbaba Introduction to SRAM Jasur Hanbaba Outline Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitry Non-volatile Memory Manufacturing Flow Memory Arrays Memory Arrays Random Access Memory Serial

More information

Optimized CAM Design

Optimized CAM Design Vol. 3, Issue. 5, Sep - Oct. 2013 pp-2640-2645 ISSN: 2249-6645 Optimized CAM Design S. Haroon Rasheed 1, M. Anand Vijay Kamalnath 2 Department of ECE, AVR & SVR E C T, Nandyal, India Abstract: Content-addressable

More information

VLSI System Testing. Fault Simulation

VLSI System Testing. Fault Simulation ECE 538 VLSI System Testing Krish Chakrabarty Fault Simulation ECE 538 Krish Chakrabarty Fault Simulation Problem and motivation Fault simulation algorithms Serial Parallel Deductive Concurrent Random

More information

Scalable Controller Based PMBIST Design For Memory Testability M. Kiran Kumar, G. Sai Thirumal, B. Nagaveni M.Tech (VLSI DESIGN)

Scalable Controller Based PMBIST Design For Memory Testability M. Kiran Kumar, G. Sai Thirumal, B. Nagaveni M.Tech (VLSI DESIGN) Scalable Controller Based PMBIST Design For Memory Testability M. Kiran Kumar, G. Sai Thirumal, B. Nagaveni M.Tech (VLSI DESIGN) Abstract With increasing design complexity in modern SOC design, many memory

More information

Page 1. Outline. A Good Reference and a Caveat. Testing. ECE 254 / CPS 225 Fault Tolerant and Testable Computing Systems. Testing and Design for Test

Page 1. Outline. A Good Reference and a Caveat. Testing. ECE 254 / CPS 225 Fault Tolerant and Testable Computing Systems. Testing and Design for Test Page Outline ECE 254 / CPS 225 Fault Tolerant and Testable Computing Systems Testing and Design for Test Copyright 24 Daniel J. Sorin Duke University Introduction and Terminology Test Generation for Single

More information

Optimal Clustering and Statistical Identification of Defective ICs using I DDQ Testing

Optimal Clustering and Statistical Identification of Defective ICs using I DDQ Testing Optimal Clustering and Statistical Identification of Defective ICs using I DDQ Testing A. Rao +, A.P. Jayasumana * and Y.K. Malaiya* *Colorado State University, Fort Collins, CO 8523 + PalmChip Corporation,

More information

Design of Low Power Wide Gates used in Register File and Tag Comparator

Design of Low Power Wide Gates used in Register File and Tag Comparator www..org 1 Design of Low Power Wide Gates used in Register File and Tag Comparator Isac Daimary 1, Mohammed Aneesh 2 1,2 Department of Electronics Engineering, Pondicherry University Pondicherry, 605014,

More information

THE latest generation of microprocessors uses a combination

THE latest generation of microprocessors uses a combination 1254 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 30, NO. 11, NOVEMBER 1995 A 14-Port 3.8-ns 116-Word 64-b Read-Renaming Register File Creigton Asato Abstract A 116-word by 64-b register file for a 154 MHz

More information

Modeling Techniques and Tests for Partial Faults in Memory Devices

Modeling Techniques and Tests for Partial Faults in Memory Devices Modeling Techniques and Tests for Partial Faults in Memory Devices Zaid Al-Ars Ad J. van de Goor Section Computer Engineering, Faculty of Information Technology and Systems Delft University of Technology,

More information

Applying March Tests to K-Way Set-Associative Cache Memories

Applying March Tests to K-Way Set-Associative Cache Memories 13th European Test Symposium Applying March Tests to K-Way Set-Associative Cache Memories Simone Alpe, Stefano Di Carlo, Paolo Prinetto, Alessandro Savino Politecnico di Torino, Dep. of Control and Computer

More information

Introduction to Semiconductor Memory Dr. Lynn Fuller Webpage:

Introduction to Semiconductor Memory Dr. Lynn Fuller Webpage: ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Introduction to Semiconductor Memory Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035

More information

On-Line Error Detecting Constant Delay Adder

On-Line Error Detecting Constant Delay Adder On-Line Error Detecting Constant Delay Adder Whitney J. Townsend and Jacob A. Abraham Computer Engineering Research Center The University of Texas at Austin whitney and jaa @cerc.utexas.edu Parag K. Lala

More information

Topics of this Slideset. CS429: Computer Organization and Architecture. Digital Signals. Truth Tables. Logic Design

Topics of this Slideset. CS429: Computer Organization and Architecture. Digital Signals. Truth Tables. Logic Design Topics of this Slideset CS429: Computer Organization and rchitecture Dr. Bill Young Department of Computer Science University of Texas at ustin Last updated: July 5, 2018 at 11:55 To execute a program

More information

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 6T- SRAM for Low Power Consumption Mrs. J.N.Ingole 1, Ms.P.A.Mirge 2 Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 PG Student [Digital Electronics], Dept. of ExTC, PRMIT&R,

More information

MODEL FOR DELAY FAULTS BASED UPON PATHS

MODEL FOR DELAY FAULTS BASED UPON PATHS MODEL FOR DELAY FAULTS BASED UPON PATHS Gordon L. Smith International Business Machines Corporation Dept. F60, Bldg. 706-2, P. 0. Box 39 Poughkeepsie, NY 12602 (914) 435-7988 Abstract Delay testing of

More information

Power Gated Match Line Sensing Content Addressable Memory

Power Gated Match Line Sensing Content Addressable Memory International Journal of Embedded Systems, Robotics and Computer Engineering. Volume 1, Number 1 (2015), pp. 1-6 International Research Publication House http://www.irphouse.com Power Gated Match Line

More information

DESIGN AND SIMULATION OF 1 BIT ARITHMETIC LOGIC UNIT DESIGN USING PASS-TRANSISTOR LOGIC FAMILIES

DESIGN AND SIMULATION OF 1 BIT ARITHMETIC LOGIC UNIT DESIGN USING PASS-TRANSISTOR LOGIC FAMILIES Volume 120 No. 6 2018, 4453-4466 ISSN: 1314-3395 (on-line version) url: http://www.acadpubl.eu/hub/ http://www.acadpubl.eu/hub/ DESIGN AND SIMULATION OF 1 BIT ARITHMETIC LOGIC UNIT DESIGN USING PASS-TRANSISTOR

More information

A Fault Model for VHDL Descriptions at the Register Transfer Level *

A Fault Model for VHDL Descriptions at the Register Transfer Level * A Model for VHDL Descriptions at the Register Transfer Level * Abstract This paper presents a model for VHDL descriptions at the Register Transfer Level and its evaluation with respect to a logic level

More information

BIST is the technique of designing additional hardware and software. features into integrated circuits to allow them to perform self testing, i.e.

BIST is the technique of designing additional hardware and software. features into integrated circuits to allow them to perform self testing, i.e. CHAPTER 6 FINITE STATE MACHINE BASED BUILT IN SELF TEST AND DIAGNOSIS 5.1 Introduction BIST is the technique of designing additional hardware and software features into integrated circuits to allow them

More information

CMOS Logic Circuit Design Link( リンク ): センター教官講義ノートの下 CMOS 論理回路設計

CMOS Logic Circuit Design   Link( リンク ): センター教官講義ノートの下 CMOS 論理回路設計 CMOS Logic Circuit Design http://www.rcns.hiroshima-u.ac.jp Link( リンク ): センター教官講義ノートの下 CMOS 論理回路設計 Memory Circuits (Part 1) Overview of Memory Types Memory with Address-Based Access Principle of Data Access

More information

IMPLEMENTATION OF LOW POWER AREA EFFICIENT ALU WITH LOW POWER FULL ADDER USING MICROWIND DSCH3

IMPLEMENTATION OF LOW POWER AREA EFFICIENT ALU WITH LOW POWER FULL ADDER USING MICROWIND DSCH3 IMPLEMENTATION OF LOW POWER AREA EFFICIENT ALU WITH LOW POWER FULL ADDER USING MICROWIND DSCH3 Ritafaria D 1, Thallapalli Saibaba 2 Assistant Professor, CJITS, Janagoan, T.S, India Abstract In this paper

More information

Low-Power SRAM and ROM Memories

Low-Power SRAM and ROM Memories Low-Power SRAM and ROM Memories Jean-Marc Masgonty 1, Stefan Cserveny 1, Christian Piguet 1,2 1 CSEM, Neuchâtel, Switzerland 2 LAP-EPFL Lausanne, Switzerland Abstract. Memories are a main concern in low-power

More information

Testing Static and Dynamic Faults in Random Access Memories

Testing Static and Dynamic Faults in Random Access Memories Testing Static and Dynamic Faults in Random Access Memories Said Hamdioui 1 2 Zaid Al-Ars 2 Ad J. van de Goor 2 1 Intel Corporation, 2200 Mission College Boulevard, Santa Clara, CA 95052 2 Delft University

More information

ENEE 759H, Spring 2005 Memory Systems: Architecture and

ENEE 759H, Spring 2005 Memory Systems: Architecture and SLIDE, Memory Systems: DRAM Device Circuits and Architecture Credit where credit is due: Slides contain original artwork ( Jacob, Wang 005) Overview Processor Processor System Controller Memory Controller

More information

Advanced Digital Logic Design EECS 303

Advanced Digital Logic Design EECS 303 Advanced igital Logic esign EECS 33 http://ziyang.eecs.northwestern.edu/eecs33/ Teacher: Robert ick Office: L477 Tech Email: dickrp@northwestern.edu Phone: 847 467 2298 Outline. 2. 2 Robert ick Advanced

More information

6. Latches and Memories

6. Latches and Memories 6 Latches and Memories This chapter . RS Latch The RS Latch, also called Set-Reset Flip Flop (SR FF), transforms a pulse into a continuous state. The RS latch can be made up of two interconnected

More information

Testability Design for Sleep Convention Logic

Testability Design for Sleep Convention Logic Advances in Computational Sciences and Technology ISSN 0973-6107 Volume 11, Number 7 (2018) pp. 561-566 Research India Publications http://www.ripublication.com Testability Design for Sleep Convention

More information

Unit 7: Memory. Dynamic shift register: Circuit diagram: Refer to unit 4(ch 6.5.4)

Unit 7: Memory. Dynamic shift register: Circuit diagram: Refer to unit 4(ch 6.5.4) Unit 7: Memory Objectives: At the end of this unit we will be able to understand System timing consideration Storage / Memory Elements dynamic shift register 1T and 3T dynamic memory 4T dynamic and 6T

More information

SRAM Delay Fault Modeling and Test Algorithm Development

SRAM Delay Fault Modeling and Test Algorithm Development SRAM Delay Fault Modeling and Test Algorithm Development Rei-Fu Huang, Yan-Ting Lai, Yung-Fa Chou, and Cheng-Wen Wu Laboratory for Reliable Computing (LaRC) Department of Electrical Engineering National

More information

A Survey on Dram Testing and Its Algorithms

A Survey on Dram Testing and Its Algorithms RESEARCH ARTICLE OPEN ACCESS A Survey on Dram Testing and Its Algorithms K.Manju Priya 1, M. Menaka 2 Research Scholar 1, Assistant professor 2 ECE Department (ME VLSI DESIGN) SVS College of Engineering,

More information

An Integrated ECC and BISR Scheme for Error Correction in Memory

An Integrated ECC and BISR Scheme for Error Correction in Memory An Integrated ECC and BISR Scheme for Error Correction in Memory Shabana P B 1, Anu C Kunjachan 2, Swetha Krishnan 3 1 PG Student [VLSI], Dept. of ECE, Viswajyothy College Of Engineering & Technology,

More information

CPE300: Digital System Architecture and Design

CPE300: Digital System Architecture and Design CPE300: Digital System Architecture and Design Fall 2011 MW 17:30-18:45 CBC C316 Cache 11232011 http://www.egr.unlv.edu/~b1morris/cpe300/ 2 Outline Review Memory Components/Boards Two-Level Memory Hierarchy

More information

Transistor-Level Fault Analysis and Test Algorithm Development for Ternary Dynamic Content Addressable Memories

Transistor-Level Fault Analysis and Test Algorithm Development for Ternary Dynamic Content Addressable Memories Transistor-Level Fault Analysis and Test Algorithm Development for Ternary Dynamic Content Addressable Memories Derek Wright and Manoj Sachdev Dept of Electrical & Computer Engineering University of Waterloo,

More information

Design and Implementation of 8K-bits Low Power SRAM in 180nm Technology

Design and Implementation of 8K-bits Low Power SRAM in 180nm Technology Design and Implementation of 8K-bits Low Power SRAM in 180nm Technology 1 Sreerama Reddy G M, 2 P Chandrasekhara Reddy Abstract-This paper explores the tradeoffs that are involved in the design of SRAM.

More information

Lecture 11: MOS Memory

Lecture 11: MOS Memory Lecture 11: MOS Memory MAH, AEN EE271 Lecture 11 1 Memory Reading W&E 8.3.1-8.3.2 - Memory Design Introduction Memories are one of the most useful VLSI building blocks. One reason for their utility is

More information

Automated TestVector Generation. Issues in Test Vector Generation. BIST Approach. Built-In Self Test (BIST) LFSR (cont)

Automated TestVector Generation. Issues in Test Vector Generation. BIST Approach. Built-In Self Test (BIST) LFSR (cont) The oncept of a Fault Testing centers around detection of faults in a circuit. The digital world is made up of interconnected gates Thus, only two things can fail - gates and their interconnections faulty

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 2 (p2) Fault Modeling (Chapter 4) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Previous lecture What are the different

More information

Modeling and Simulation of Multi-Operation Microcode-based Built-in Self Test for Memory Fault Detection and Repair

Modeling and Simulation of Multi-Operation Microcode-based Built-in Self Test for Memory Fault Detection and Repair Modeling and Simulation of Multi-Operation Microcode-based Built-in Self Test for Memory Fault Detection and Repair Dr. R.K. Sharma and Aditi Sood Abstract As embedded memory area on-chip is increasing

More information

DRAM Specific Approximation of the Faulty Behavior of Cell Defects

DRAM Specific Approximation of the Faulty Behavior of Cell Defects DRAM Specific Approximation of the Faulty Behavior of Cell Defects Zaid Al-Ars Ad J. van de Goor Section CE, Faculty of Information Technology and Systems Delft University of Technology, Mekelweg 4, 2628

More information

! Memory Overview. ! ROM Memories. ! RAM Memory " SRAM " DRAM. ! This is done because we can build. " large, slow memories OR

! Memory Overview. ! ROM Memories. ! RAM Memory  SRAM  DRAM. ! This is done because we can build.  large, slow memories OR ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec 2: April 5, 26 Memory Overview, Memory Core Cells Lecture Outline! Memory Overview! ROM Memories! RAM Memory " SRAM " DRAM 2 Memory Overview

More information

Low Power using Match-Line Sensing in Content Addressable Memory S. Nachimuthu, S. Ramesh 1 Department of Electrical and Electronics Engineering,

Low Power using Match-Line Sensing in Content Addressable Memory S. Nachimuthu, S. Ramesh 1 Department of Electrical and Electronics Engineering, Low Power using Match-Line Sensing in Content Addressable Memory S. Nachimuthu, S. Ramesh 1 Department of Electrical and Electronics Engineering, K.S.R College of Engineering, Tiruchengode, Tamilnadu,

More information

Design of high speed low power Content Addressable Memory (CAM) using parity bit and gated power matchline sensing

Design of high speed low power Content Addressable Memory (CAM) using parity bit and gated power matchline sensing Design of high speed low power Content Addressable Memory (CAM) using parity bit and gated power matchline sensing T. Sudalaimani 1, Mr. S. Muthukrishnan 2 1 PG Scholar, (Applied Electronics), 2 Associate

More information

CHAPTER 12 ARRAY SUBSYSTEMS [ ] MANJARI S. KULKARNI

CHAPTER 12 ARRAY SUBSYSTEMS [ ] MANJARI S. KULKARNI CHAPTER 2 ARRAY SUBSYSTEMS [2.4-2.9] MANJARI S. KULKARNI OVERVIEW Array classification Non volatile memory Design and Layout Read-Only Memory (ROM) Pseudo nmos and NAND ROMs Programmable ROMS PROMS, EPROMs,

More information

International Journal of Advance Engineering and Research Development LOW POWER AND HIGH PERFORMANCE MSML DESIGN FOR CAM USE OF MODIFIED XNOR CELL

International Journal of Advance Engineering and Research Development LOW POWER AND HIGH PERFORMANCE MSML DESIGN FOR CAM USE OF MODIFIED XNOR CELL Scientific Journal of Impact Factor (SJIF): 5.71 e-issn (O): 2348-4470 p-issn (P): 2348-6406 International Journal of Advance Engineering and Research Development Volume 5, Issue 04, April -2018 LOW POWER

More information

Fault Tolerant Computing CS 530 Testing Sequential Circuits

Fault Tolerant Computing CS 530 Testing Sequential Circuits CS 530 Testing Sequential Circuits Yashwant K. Malaiya Colorado State University 1 Why Testing Sequential Circuits is Hard To test a sequential circuit we need to Initialize it into a known state (reset

More information

Introduction to CMOS VLSI Design. Programmable Logic. Peter Kogge Fall 2015

Introduction to CMOS VLSI Design. Programmable Logic. Peter Kogge Fall 2015 Introduction to MOS VLSI Design Programmable Logic Peter Kogge Fall 2015 Includes lecture slides by David Harris, Harvey Mudd ollege http://www.cmosvlsi.com/coursematerials.html Programmable Logic Slide

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation NTU IC541CA 1 Assumed Knowledge This lab assumes use of the Electric

More information

Fault Grading FPGA Interconnect Test Configurations

Fault Grading FPGA Interconnect Test Configurations * Fault Grading FPGA Interconnect Test Configurations Mehdi Baradaran Tahoori Subhasish Mitra* Shahin Toutounchi Edward J. McCluskey Center for Reliable Computing Stanford University http://crc.stanford.edu

More information

POWER ANALYSIS RESISTANT SRAM

POWER ANALYSIS RESISTANT SRAM POWER ANALYSIS RESISTANT ENGİN KONUR, TÜBİTAK-UEKAE, TURKEY, engin@uekae.tubitak.gov.tr YAMAN ÖZELÇİ, TÜBİTAK-UEKAE, TURKEY, yaman@uekae.tubitak.gov.tr EBRU ARIKAN, TÜBİTAK-UEKAE, TURKEY, ebru@uekae.tubitak.gov.tr

More information

An Efficient Method for Multiple Fault Diagnosis

An Efficient Method for Multiple Fault Diagnosis An Efficient Method for Multiple Fault Diagnosis Khushboo Sheth Department of Electrical and Computer Engineering Auburn University, Auburn, AL Abstract: In this paper, failing circuits are analyzed and

More information

Testability Optimizations for A Time Multiplexed CPLD Implemented on Structured ASIC Technology

Testability Optimizations for A Time Multiplexed CPLD Implemented on Structured ASIC Technology ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 14, Number 4, 2011, 392 398 Testability Optimizations for A Time Multiplexed CPLD Implemented on Structured ASIC Technology Traian TULBURE

More information

Chapter 6 Detailed Routing

Chapter 6 Detailed Routing hapter 6 Detailed Routing 6.1 Terminology 6.2 Horizontal and Vertical onstraint Graphs 6.2.1 Horizontal onstraint Graphs 6.2.2 Vertical onstraint Graphs 6.3 hannel Routing lgorithms 6.3.1 Left-Edge lgorithm

More information

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February ISSN

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February ISSN International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February-2014 938 LOW POWER SRAM ARCHITECTURE AT DEEP SUBMICRON CMOS TECHNOLOGY T.SANKARARAO STUDENT OF GITAS, S.SEKHAR DILEEP

More information

Full Custom Layout Optimization Using Minimum distance rule, Jogs and Depletion sharing

Full Custom Layout Optimization Using Minimum distance rule, Jogs and Depletion sharing Full Custom Layout Optimization Using Minimum distance rule, Jogs and Depletion sharing Umadevi.S #1, Vigneswaran.T #2 # Assistant Professor [Sr], School of Electronics Engineering, VIT University, Vandalur-

More information

TIMING-INDEPENDENT TESTING OF CROSSTALK IN THE PRESENCE OF DELAY PRODUCING DEFECTS USING SURROGATE FAULT MODELS *

TIMING-INDEPENDENT TESTING OF CROSSTALK IN THE PRESENCE OF DELAY PRODUCING DEFECTS USING SURROGATE FAULT MODELS * TIMING-INDEPENDENT TESTING OF CROSSTALK IN THE PRESENCE OF DELAY PRODUCING DEFECTS USING SURROGATE FAULT MODELS * Shahdad Irajpour Sandeep K. Gupta Melvin A. Breuer Department of EE Systems, University

More information

Memory in Digital Systems

Memory in Digital Systems MEMORIES Memory in Digital Systems Three primary components of digital systems Datapath (does the work) Control (manager) Memory (storage) Single bit ( foround ) Clockless latches e.g., SR latch Clocked

More information

Data Cache Final Project Report ECE251: VLSI Systems Design UCI Spring, 2000

Data Cache Final Project Report ECE251: VLSI Systems Design UCI Spring, 2000 June 15, 2000 Data Cache Final Project Report ECE251: VLSI Systems Design UCI Spring, 2000 Jinfeng Liu Yi Deng ID: 65547013 (jinfengl@ece.uci.edu) ID: 57434732 (dengy@ece.uci.edu) Project Summary In this

More information

Learning Objectives: Topic Karnaugh Maps. At the end of this topic you will be able to;

Learning Objectives: Topic Karnaugh Maps. At the end of this topic you will be able to; Topic.2.3 Karnaugh Maps Learning Objectives: t the end of this topic you will be able to; Draw a Karnaugh map for a logic system with up to four inputs and use it to minimise the number of gates required;

More information

ECE199JL: Introduction to Computer Engineering Fall 2012 Notes Set 2.4. Example: Bit-Sliced Comparison

ECE199JL: Introduction to Computer Engineering Fall 2012 Notes Set 2.4. Example: Bit-Sliced Comparison c 22 Steven S. Lumetta. ll rights reserved. 2 EE99JL: Introduction to omputer Engineering Fall 22 Notes Set 2.4 Eample: it-sliced omparison This set of notes develops s for unsigned and 2 s complement

More information

Fault-Tolerant Computing

Fault-Tolerant Computing Fault-Tolerant Computing Hardware Design Methods Nov 2007 Self-Checking Modules Slide 1 About This Presentation This presentation has been prepared for the graduate course ECE 257A (Fault-Tolerant Computing)

More information

Addressable Bus Buffer Provides Capacitance Buffering, Live Insertion and Nested Addressing in 2-WireBus Systems

Addressable Bus Buffer Provides Capacitance Buffering, Live Insertion and Nested Addressing in 2-WireBus Systems Addressable Bus Buffer Provides Capacitance Buffering, Live Insertion and Nested Addressing in 2-WireBus Systems by John Ziegler Introduction The reliability of data processing, data storage and communications

More information

Incompatibility Dimensions and Integration of Atomic Commit Protocols

Incompatibility Dimensions and Integration of Atomic Commit Protocols The International Arab Journal of Information Technology, Vol. 5, No. 4, October 2008 381 Incompatibility Dimensions and Integration of Atomic Commit Protocols Yousef Al-Houmaily Department of Computer

More information