TTool/DIPLODOCUS: a UML Model-Driven Environment for Embedded Systems-on-Chip Design

Size: px
Start display at page:

Download "TTool/DIPLODOCUS: a UML Model-Driven Environment for Embedded Systems-on-Chip Design"

Transcription

1 Institut Mines-Telecom Telecom ParisTech CNRS/LTCI Sophia Antipolis, France TTool/DIPLODOCUS: a UML Model-Driven Environment for Embedded Systems-on-Chip Design Andrea Enrici Ludovic Apvrille Renaud Pacalet MODELS 2014 Demonstration session

2 Research context: embedded Systems on Chip (SoCs) Embedded system = information processing systems embedded into a larger product, normally not directly perceivable by users 2/20 Telecom ParisTech/COMELEC MODELS 2014 Demonstration session

3 Research context: embedded Systems on Chip (SoCs) Embedded system = information processing systems embedded into a larger product, normally not directly perceivable by users System on Chip = software and hardware components working together to perform a predefined set of functions 2/20 Telecom ParisTech/COMELEC MODELS 2014 Demonstration session

4 Research context: embedded Systems on Chip (SoCs) Embedded system = information processing systems embedded into a larger product, normally not directly perceivable by users System on Chip = software and hardware components working together to perform a predefined set of functions We focus on: data-dominated embedded systems (e.g., signal, video processing) 2/20 Telecom ParisTech/COMELEC MODELS 2014 Demonstration session

5 Our Design Challenge Hardware/software partitioning: decide if a functionality should be implemented in hardware or in software or both Design Space Exploration is the solution! 2/20 Telecom ParisTech/COMELEC MODELS 2014 Demonstration session

6 Design Space Exploration (DSE) Design Space Exploration = analyzing different implementations, that are functionally equivalent, in order to find an optimal solution according to given performance criteria (e.g., costs, area, power consumption) 3/20 Telecom ParisTech/COMELEC MODELS 2014 Demonstration session

7 Motivation Embedded hardware has undergone a tremendous change: from single processor architectures to on-chip cloud computers with tens or hundreds of cores 4/20 Telecom ParisTech/COMELEC MODELS 2014 Demonstration session

8 Motivation Embedded hardware has undergone a tremendous change: from single processor architectures to on-chip cloud computers with tens or hundreds of cores so has done embedded software: from simple routines within control loops to applications with video conferencing and voice recognition 4/20 Telecom ParisTech/COMELEC MODELS 2014 Demonstration session

9 Motivation Embedded hardware has undergone a tremendous change: from single processor architectures to on-chip cloud computers with tens or hundreds of cores so has done embedded software: from simple routines within control loops to applications with video conferencing and voice recognition It is now impossible to design new products from scratch! 4/20 Telecom ParisTech/COMELEC MODELS 2014 Demonstration session

10 A toolkit named TTool (say tea-tool ) 5/20 Telecom ParisTech/COMELEC MODELS 2014 Demonstration session

11 TTool/DIPLODOCUS in a nutshell UML/SysML diagrams to model an embedded system s functionality, communication services and architecture 6/20 Telecom ParisTech/COMELEC MODELS 2014 Demonstration session

12 TTool/DIPLODOCUS in a nutshell UML/SysML diagrams to model an embedded system s functionality, communication services and architecture Simulate and verify formally the system s models at the push of a button 6/20 Telecom ParisTech/COMELEC MODELS 2014 Demonstration session

13 TTool/DIPLODOCUS in a nutshell UML/SysML diagrams to model an embedded system s functionality, communication services and architecture Simulate and verify formally the system s models at the push of a button No need to be an expert in modeling, simulation or formal verification 6/20 Telecom ParisTech/COMELEC MODELS 2014 Demonstration session

14 TTool/DIPLODOCUS in a nutshell UML/SysML diagrams to model an embedded system s functionality, communication services and architecture Simulate and verify formally the system s models at the push of a button No need to be an expert in modeling, simulation or formal verification Let s model a signal processing algorithm running on an embedded System-on-Chip! 6/20 Telecom ParisTech/COMELEC MODELS 2014 Demonstration session

15 High-level models... what for? Increase simulation speed the more models contain details, the longer are the simulation and verification 7/20 Telecom ParisTech/COMELEC MODELS 2014 Demonstration session

16 High-level models... what for? Increase simulation speed the more models contain details, the longer are the simulation and verification Reduce design and testing effort the earlier the bugs are found, the less it costs to fix them 7/20 Telecom ParisTech/COMELEC MODELS 2014 Demonstration session

17 High-level models... what for? Increase simulation speed the more models contain details, the longer are the simulation and verification Reduce design and testing effort the earlier the bugs are found, the less it costs to fix them Increase portability save time and money by re-adapting models for next projects 7/20 Telecom ParisTech/COMELEC MODELS 2014 Demonstration session

18 The Ψ-chart design methodology 8/20 Telecom ParisTech/COMELEC MODELS 2014 Demonstration session

19 The Ψ-chart design methodology Formal Verification Functional Simulation Functional Simulation Application modeling Communication modeling Architecture modeling The system s functionality is modeled independently of the architecture, it can be simulated and verified before mapping Mapping Design Space Exploration Formal Verification Functional Simulation 8/20 Telecom ParisTech/COMELEC MODELS 2014 Demonstration session

20 The Ψ-chart design methodology Formal Verification Functional Simulation Functional Simulation Application modeling Communication modeling Architecture modeling Mapping Design Space Exploration The available resources are modeled as a set of generic hw components: buses, memories, CPUs, etc. Formal Verification Functional Simulation 8/20 Telecom ParisTech/COMELEC MODELS 2014 Demonstration session

21 The Ψ-chart design methodology Formal Verification Functional Simulation Functional Simulation Application modeling Communication modeling Architecture modeling The communication services Mapping offered by the architecture are modeled and can be simulated before mapping Design Space Exploration Formal Verification Functional Simulation 8/20 Telecom ParisTech/COMELEC MODELS 2014 Demonstration session

22 The Ψ-chart design methodology Formal Verification Functional Simulation Functional Simulation Application modeling Communication modeling Architecture modeling The user selects the architecture resources that implement the functionalities of the application and communication models Mapping Design Space Exploration Formal Verification Functional Simulation 8/20 Telecom ParisTech/COMELEC MODELS 2014 Demonstration session

23 The Ψ-chart design methodology Formal Verification Functional Simulation Functional Simulation Application modeling Communication modeling Architecture modeling The design space is explored after mapping via functional simulation and formal verification (model-checking) Mapping Design Space Exploration Formal Verification Functional Simulation 8/20 Telecom ParisTech/COMELEC MODELS 2014 Demonstration session

24 Let s model with TTool/DIPLODOCUS! Let s model a signal processing algorithm that runs on an embedded System-on-Chip! 9/20 Telecom ParisTech/COMELEC MODELS 2014 Demonstration session

25 Let s model with TTool/DIPLODOCUS! Diagrams are drawn by dragging and dropping the modeling assets from the toolbars 10/20 Telecom ParisTech/COMELEC MODELS 2014 Demonstration session

26 Application modeling CWM1 CWM2 SOURCE ch1 CWS ACC Let's model first the processing operations interconnected by data dependencies (blue ports) SINK 10/20 Telecom ParisTech/COMELEC MODELS 2014 Demonstration session

27 Application modeling CONTROL CWM1 CWM2 SOURCE ch1 CWS ACC Then let's model a control operation and the control dependencies among the other operations (violet ports) SINK 10/20 Telecom ParisTech/COMELEC MODELS 2014 Demonstration session

28 Application modeling CONTROL CWM1 CWM2 SOURCE ch1 CWS ACC Applications are modeled as communicating tasks which are then mapped on hardware components SINK 10/20 Telecom ParisTech/COMELEC MODELS 2014 Demonstration session

29 Application modeling CONTROL CWM1 CWM2 SOURCE ch1 CWS ACC events are used to synchronize tasks' execution SINK 10/20 Telecom ParisTech/COMELEC MODELS 2014 Demonstration session

30 Application modeling CONTROL CWM1 CWM2 SOURCE ch1 CWS ACC requests serve as means to spawn other tasks SINK 10/20 Telecom ParisTech/COMELEC MODELS 2014 Demonstration session

31 Application modeling CONTROL CWM1 CWM2 SOURCE ch1 CWS ACC s model data exchanges between tasks SINK 10/20 Telecom ParisTech/COMELEC MODELS 2014 Demonstration session

32 Application modeling The behavior of each task is described by a state machine SendEvent() req SpawnTask() an event is sent chl WriteSample(1) for(i=0;i<5;i = i+1) [guard_1 == true] [guard_2 == true] Wait4Evt1() Wait4Evt2() chl ReceiveSample(1) SamplesToProcess 10/20 Telecom ParisTech/COMELEC MODELS 2014 Demonstration session

33 Application modeling The behavior of each task is described by a state machine SendEvent() req SpawnTask() chl WriteSample(1) a task is spawn for(i=0;i<5;i = i+1) [guard_1 == true] [guard_2 == true] Wait4Evt1() Wait4Evt2() chl ReceiveSample(1) SamplesToProcess 10/20 Telecom ParisTech/COMELEC MODELS 2014 Demonstration session

34 Application modeling The behavior of each task is described by a state machine SendEvent() req SpawnTask() chl WriteSample(1) write a sample to a for(i=0;i<5;i = i+1) [guard_1 == true] [guard_2 == true] Wait4Evt1() Wait4Evt2() chl ReceiveSample(1) SamplesToProcess 10/20 Telecom ParisTech/COMELEC MODELS 2014 Demonstration session

35 Application modeling The behavior of each task is described by a state machine SendEvent() req SpawnTask() chl WriteSample(1) loop for(i=0;i<5;i = i+1) [guard_1 == true] [guard_2 == true] Wait4Evt1() Wait4Evt2() chl ReceiveSample(1) SamplesToProcess 10/20 Telecom ParisTech/COMELEC MODELS 2014 Demonstration session

36 Application modeling The behavior of each task is described by a state machine SendEvent() req SpawnTask() chl WriteSample(1) select between multiple execution paths for(i=0;i<5;i = i+1) [guard_1 == true] [guard_2 == true] Wait4Evt1() Wait4Evt2() chl ReceiveSample(1) SamplesToProcess 10/20 Telecom ParisTech/COMELEC MODELS 2014 Demonstration session

37 Application modeling The behavior of each task is described by a state machine SendEvent() req SpawnTask() chl WriteSample(1) for(i=0;i<5;i = i+1) [guard_1 == true] [guard_2 == true] Wait4Evt1() Wait4Evt2() chl ReceiveSample(1) SamplesToProcess compute 10/20 Telecom ParisTech/COMELEC MODELS 2014 Demonstration session

38 Application modeling The behavior of each task is described by a state machine SendEvent() req SpawnTask() chl WriteSample(1) for(i=0;i<5;i = i+1) [guard_1 == true] [guard_2 == true] wait for an event Wait4Evt1() Wait4Evt2() chl ReceiveSample(1) SamplesToProcess 10/20 Telecom ParisTech/COMELEC MODELS 2014 Demonstration session

39 Application modeling The behavior of each task is described by a state machine SendEvent() req SpawnTask() chl WriteSample(1) for(i=0;i<5;i = i+1) [guard_1 == true] [guard_2 == true] retrieve a sample from a Wait4Evt1() chl ReceiveSample(1) Wait4Evt2() SamplesToProcess 10/20 Telecom ParisTech/COMELEC MODELS 2014 Demonstration session

40 Application modeling The TTool/DIPLODOCUS abstraction principles: SendEvent() req SpawnTask() chl 1) only the amount WriteSample(1) of data exchanged between tasks is modeled. Data-dependent decisions are for(i=0;i<5;i = i+1) expressed by non-deterministic and static operators [guard_1 == true] [guard_2 == true] Wait4Evt1() Wait4Evt2() chl ReceiveSample(1) SamplesToProcess 10/20 Telecom ParisTech/COMELEC MODELS 2014 Demonstration session

41 Application modeling The TTool/DIPLODOCUS abstraction principles: SendEvent() req SpawnTask() chl 2) algorithms are described WriteSample(1) using abstract cost operators. The complexity of computations is taken for(i=0;i<5;i = i+1) into account without having to actually execute them [guard_1 == true] [guard_2 == true] Wait4Evt1() Wait4Evt2() chl ReceiveSample(1) SamplesToProcess 10/20 Telecom ParisTech/COMELEC MODELS 2014 Demonstration session

42 Architecture modeling An architecture model is a set of generic components <<CPURR>> FEP a CPU executes control and data processing tasks <<MEMORY>> main <<MEMORY>> FEP_MSS <<DMA>> DMA0 <<CPURR>> LEON FEP_Bus CROSS <<BRIDGE>> Bridge0 mainbus 11/20 Telecom ParisTech/COMELEC MODELS 2014 Demonstration session

43 Architecture modeling An architecture model is a set of generic components <<CPURR>> FEP a memory stores data and control information <<MEMORY>> main <<MEMORY>> FEP_MSS <<DMA>> DMA0 <<CPURR>> LEON FEP_Bus CROSS <<BRIDGE>> Bridge0 mainbus 11/20 Telecom ParisTech/COMELEC MODELS 2014 Demonstration session

44 Architecture modeling An architecture model is a set of generic components <<CPURR>> FEP a DMA transfers data and control information <<MEMORY>> main <<MEMORY>> FEP_MSS <<DMA>> DMA0 <<CPURR>> LEON FEP_Bus CROSS <<BRIDGE>> Bridge0 mainbus 11/20 Telecom ParisTech/COMELEC MODELS 2014 Demonstration session

45 Architecture modeling An architecture model is a set of generic components <<CPURR>> FEP a bus enables other hw components to communicate <<MEMORY>> main <<MEMORY>> FEP_MSS <<DMA>> DMA0 <<CPURR>> LEON FEP_Bus CROSS <<BRIDGE>> Bridge0 mainbus 11/20 Telecom ParisTech/COMELEC MODELS 2014 Demonstration session

46 Architecture modeling An architecture model is a set of generic components <<CPURR>> FEP a bridge enables two buses to communicate <<MEMORY>> main <<MEMORY>> FEP_MSS <<DMA>> DMA0 <<CPURR>> LEON FEP_Bus CROSS <<BRIDGE>> Bridge0 mainbus 11/20 Telecom ParisTech/COMELEC MODELS 2014 Demonstration session

47 Architecture modeling Each component is characterized by a set of performance parameters <<CPURR>> FEP A bridge enables two buses to communicate <<MEMORY>> main <<MEMORY>> FEP_MSS <<DMA>> DMA0 <<CPURR>> LEON FEP_Bus CROSS <<BRIDGE>> Bridge0 mainbus 11/20 Telecom ParisTech/COMELEC MODELS 2014 Demonstration session

48 Communication modeling Dedicated models (Communication Patterns) capture the communication services offered by embedded systems' interconnects SourceStorage ExecutionUnit CommunicationUnit DestinationStorage Read( 1 ) Read( 1 ) Transfer( sample ) Transfer( sample ) sample = sample - 1 Write( 1 ) Sequence Diagrams model message exchanges among generic resources that will be mapped onto the architecture 12/20 Telecom ParisTech/COMELEC MODELS 2014 Demonstration session

49 Communication modeling Activity Diagrams serve to compose Sequence Diagrams with control flows into a higher-level description sd Program Transfer1 sd Program Transfer2 [samples1 > 0] [samples1 == 0] [samples2 == 0] [samples2 > 0] sd Execute Transfer1 [ ] sd Acknow. Transfer1 sd Acknow. Transfer2 [ ] sd Execute Transfer2 12/20 Telecom ParisTech/COMELEC MODELS 2014 Demonstration session

50 Mapping Tasks are mapped on CPUs and hardware accelerators <<CPURR>> FEP Channels are mapped onto buses, bridges and memories HOC::Source <<MEMORY>> main <<MEMORY>> FEP_MSS <<CP>> 0 CP0::SampleCP FEP_Bus 0 <<DMA>> DMA0 <<CPURR>> LEON HOC::SINK CROSS <<BRIDGE>> Bridge0 mainbus 0 13/20 Telecom ParisTech/COMELEC MODELS 2014 Demonstration session

51 Mapping <<CPURR>> FEP HOC::Source Communication Patterns are mapped via dedicated artifacts <<MEMORY>> main <<MEMORY>> FEP_MSS <<CP>> 0 CP0::SampleCP FEP_Bus 0 <<DMA>> DMA0 <<CPURR>> LEON HOC::SINK CROSS <<BRIDGE>> Bridge0 mainbus 0 13/20 Telecom ParisTech/COMELEC MODELS 2014 Demonstration session

52 Functional Simulation <<CPURR>> FEP HOC::Source Simulation is started at the push of a button <<MEMORY>> main <<MEMORY>> FEP_MSS <<CP>> 0 CP0::SampleCP FEP_Bus 0 <<DMA>> DMA0 <<CPURR>> LEON HOC::SINK CROSS <<BRIDGE>> Bridge0 mainbus 0 14/20 Telecom ParisTech/COMELEC MODELS 2014 Demonstration session

53 Functional Simulation <<CPURR>> FEP HOC::Source <<MEMORY>> main <<MEMORY>> FEP_MSS <<CP>> CP0::SampleCP 0 FEP_Bus 0 <<DMA>> DMA0 <<CPURR>> LEON HOC::SINK <<BRIDGE>> CROSS Bridge0 The simulator GUI allows to easily 0 explore and debug a design while animating the diagrams mainbus 14/20 Telecom ParisTech/COMELEC MODELS 2014 Demonstration session

54 Formal Verification <<CPURR>> FEP HOC::Source <<MEMORY>> FEP_MSS The formal static analysis allows to prove certain properties. For example, check the reachability <<MEMORY>> main and liveness of a given operator <<CP>> CP0::SampleCP 0 FEP_Bus 0 <<DMA>> DMA0 <<CPURR>> LEON HOC::SINK CROSS <<BRIDGE>> Bridge0 mainbus 0 15/20 Telecom ParisTech/COMELEC MODELS 2014 Demonstration session

55 Formal Verification <<CPURR>> FEP HOC::Source <<MEMORY>> FEP_MSS FEP_Bus 0 CROSS 0 <<MEMORY>> main <<CP>> 0 CP0::SampleCP <<DMA>> DMA0 <<CPURR>> Model-checking properties LEON HOC::SINK are verified with UPPAAL and the formal semantics <<BRIDGE>> Bridge0 of LOTOS, at the mainbus push of a button 15/20 Telecom ParisTech/COMELEC MODELS 2014 Demonstration session

56 Debugging facilities Debugging and design exploration facilities are available from simulation and verification: Gantt charts execution waveforms reachability graphs 16/20 Telecom ParisTech/COMELEC MODELS 2014 Demonstration session

57 Summary An environment for the design of Systems-on-Chip: easy and rapid to deploy, open source 17/20 Telecom ParisTech/COMELEC MODELS 2014 Demonstration session

58 Summary An environment for the design of Systems-on-Chip: easy and rapid to deploy, open source used by industrial and academic partners... Texas Instruments Freescale ISAE (Toulouse, France) 18/20 Telecom ParisTech/COMELEC MODELS 2014 Demonstration session

59 Summary An environment for the design of Systems-on-Chip: easy and rapid to deploy, open source used by industrial and academic partners... Texas Instruments Freescale ISAE (Toulouse, France)... and projects EVITA (automotive embedded systems) Embb (Software-Defined Radio) 18/20 Telecom ParisTech/COMELEC MODELS 2014 Demonstration session

60 Future works and research directions Integrate model transformations: into a code generation environment to produce the application control code 19/20 Telecom ParisTech/COMELEC MODELS 2014 Demonstration session

61 Future works and research directions Integrate model transformations: into a code generation environment to produce the application control code into a run-time environment for application scheduling and memory management 19/20 Telecom ParisTech/COMELEC MODELS 2014 Demonstration session

62 Do you want to now more? Visit TTool s website: Contact us: andrea.enrici@telecom-paristech.fr ludovic.apvrille@telecom-paristech.fr renaud.pacalet@telecom-paristech.fr 20/20 Telecom ParisTech/COMELEC MODELS 2014 Demonstration session

Design Space Exploration of Systems-on-Chip: DIPLODOCUS

Design Space Exploration of Systems-on-Chip: DIPLODOCUS Design Space Exploration of Systems-on-Chip: DIPLODOCUS Ludovic Apvrille Telecom ParisTech ludovic.apvrille@telecom-paristech.fr May, 2011 Outline Context Design Space Exploration Ludovic Apvrille DIPLODOCUS

More information

XXXX A Model-Driven Engineering Methodology to Design Parallel and Distributed Embedded Systems

XXXX A Model-Driven Engineering Methodology to Design Parallel and Distributed Embedded Systems XXXX A Model-Driven Engineering Methodology to Design Parallel and Distributed Embedded Systems ANDREA ENRICI, LUDOVIC APVRILLE, RENAUD PACALET, LTCI, CNRS, Telecom ParisTech, Université Paris-Saclay,

More information

TURTLE Four Weddings and a Tutorial

TURTLE Four Weddings and a Tutorial TURTLE Four Weddings and a Tutorial L. Apvrille, P. de Saqui-Sannes ERTS 2 Toulouse, France May 20, 2010 Rationale Verification-centric modeling of real-time and distributed systems Real-time UML profile

More information

UMLEmb: UML for Embedded Systems. I. Introduction. Ludovic Apvrille Eurecom, office 470

UMLEmb: UML for Embedded Systems. I. Introduction. Ludovic Apvrille Eurecom, office 470 UMLEmb: UML for Embedded Systems I. Introduction Ludovic Apvrille ludovic.apvrille@telecom-paristech.fr Eurecom, office 470 http://soc.eurecom.fr/umlemb/ @UMLEmb Eurecom Goals System specification (includes

More information

UML for Embedded Systems IV. Validation

UML for Embedded Systems IV. Validation UML for Embedded Systems IV. Validation Ludovic Apvrille ludovic.apvrille@telecom-paristech.fr Eurecom, Office 470 Memo on Methodology III. Detailed design Behavior of the system IV. Validation of the

More information

A Tutorial on TTool/DIPLODOCUS: an Open-source Toolkit for the Design of Data-flow Embedded Systems

A Tutorial on TTool/DIPLODOCUS: an Open-source Toolkit for the Design of Data-flow Embedded Systems A Tutorial on TTool/DIPLODOCUS: an Open-source Toolkit for the Design of Data-flow Embedded Systems Andrea Enrici 1, Letitia Li 2, Ludovic Apvrille 2, and Dominique Blouin 2 1 Nokia Bell Labs France Centre

More information

Introducing the FPGA-Based Prototyping Methodology Manual (FPMM) Best Practices in Design-for-Prototyping

Introducing the FPGA-Based Prototyping Methodology Manual (FPMM) Best Practices in Design-for-Prototyping Introducing the FPGA-Based Prototyping Methodology Manual (FPMM) Best Practices in Design-for-Prototyping 1 What s the News? Introducing the FPMM: FPGA-Based Prototyping Methodology Manual Launch of new

More information

Formal System-level Design Space Exploration

Formal System-level Design Space Exploration CONCURRENCY AND COMPUTATION: PRACTICE AND EXPERIENCE Concurrency Computat.: Pract. Exper. 2000; 00:1 7 [Version: 2002/09/19 v2.02] Formal System-level Design Space Exploration Daniel Knorreck and Ludovic

More information

EE382V: System-on-a-Chip (SoC) Design

EE382V: System-on-a-Chip (SoC) Design EE382V: System-on-a-Chip (SoC) Design Lecture 8 HW/SW Co-Design Sources: Prof. Margarida Jacome, UT Austin Andreas Gerstlauer Electrical and Computer Engineering University of Texas at Austin gerstl@ece.utexas.edu

More information

Developing and Integrating FPGA Co-processors with the Tic6x Family of DSP Processors

Developing and Integrating FPGA Co-processors with the Tic6x Family of DSP Processors Developing and Integrating FPGA Co-processors with the Tic6x Family of DSP Processors Paul Ekas, DSP Engineering, Altera Corp. pekas@altera.com, Tel: (408) 544-8388, Fax: (408) 544-6424 Altera Corp., 101

More information

System Level Design with IBM PowerPC Models

System Level Design with IBM PowerPC Models September 2005 System Level Design with IBM PowerPC Models A view of system level design SLE-m3 The System-Level Challenges Verification escapes cost design success There is a 45% chance of committing

More information

TTool Training. III. Using TTool

TTool Training. III. Using TTool TTool Training III. Using TTool Ludovic Apvrille ludovic.apvrille@telecom-paris.fr Eurecom, Office 223 Ludovic Apvrille - UML - 2005. Slide #1 I. Introduction TTool: main features Installing TTool Diagramming

More information

Hardware-Software Codesign. 1. Introduction

Hardware-Software Codesign. 1. Introduction Hardware-Software Codesign 1. Introduction Lothar Thiele 1-1 Contents What is an Embedded System? Levels of Abstraction in Electronic System Design Typical Design Flow of Hardware-Software Systems 1-2

More information

Analyzing and Debugging Performance Issues with Advanced ARM CoreLink System IP Components

Analyzing and Debugging Performance Issues with Advanced ARM CoreLink System IP Components Analyzing and Debugging Performance Issues with Advanced ARM CoreLink System IP Components By William Orme, Strategic Marketing Manager, ARM Ltd. and Nick Heaton, Senior Solutions Architect, Cadence Finding

More information

Test and Verification Solutions. ARM Based SOC Design and Verification

Test and Verification Solutions. ARM Based SOC Design and Verification Test and Verification Solutions ARM Based SOC Design and Verification 7 July 2008 1 7 July 2008 14 March 2 Agenda System Verification Challenges ARM SoC DV Methodology ARM SoC Test bench Construction Conclusion

More information

TTool Training. I. Introduction to UML

TTool Training. I. Introduction to UML TTool Training I. Introduction to UML Ludovic Apvrille ludovic.apvrille@telecom-paris.fr Eurecom, Office 223 Ludovic Apvrille TTool Training - 2004. Slide #1 Outline of the Training Introduction to UML

More information

Optimizing Cache Coherent Subsystem Architecture for Heterogeneous Multicore SoCs

Optimizing Cache Coherent Subsystem Architecture for Heterogeneous Multicore SoCs Optimizing Cache Coherent Subsystem Architecture for Heterogeneous Multicore SoCs Niu Feng Technical Specialist, ARM Tech Symposia 2016 Agenda Introduction Challenges: Optimizing cache coherent subsystem

More information

TEPE: A SysML Language for Time-Constrained Property Modeling and Formal Verification

TEPE: A SysML Language for Time-Constrained Property Modeling and Formal Verification 1 TEPE: A SysML Language for Time-Constrained Property Modeling and Formal Verification Daniel Knorreck, Ludovic Apvrille Institut Telecom / Telecom ParisTech, LTCI CNRS, 2229 Route des Crêtes, B.P. 193,

More information

TEPE: A SysML Language for Time-Constrained Property Modeling and Formal Verification

TEPE: A SysML Language for Time-Constrained Property Modeling and Formal Verification ACM SIGSOFT Software Engineering Notes Page 1 January 2011 Volume 36 Number 1 TEPE: A SysML Language for Time-Constrained Property Modeling and Formal Verification Daniel Knorreck, Ludovic Apvrille Institut

More information

System Design and Methodology/ Embedded Systems Design (Modeling and Design of Embedded Systems)

System Design and Methodology/ Embedded Systems Design (Modeling and Design of Embedded Systems) Design&Methodologies Fö 1&2-1 Design&Methodologies Fö 1&2-2 Course Information Design and Methodology/ Embedded s Design (Modeling and Design of Embedded s) TDTS07/TDDI08 Web page: http://www.ida.liu.se/~tdts07

More information

Hardware-Software Codesign. 1. Introduction

Hardware-Software Codesign. 1. Introduction Hardware-Software Codesign 1. Introduction Lothar Thiele 1-1 Contents What is an Embedded System? Levels of Abstraction in Electronic System Design Typical Design Flow of Hardware-Software Systems 1-2

More information

WAY OF WORKING TRANSFORMATION TO INTEGRATED MODEL DRIVEN DEVELOPMENT (MDD) AND MODEL- BASED TESTING (MBT)

WAY OF WORKING TRANSFORMATION TO INTEGRATED MODEL DRIVEN DEVELOPMENT (MDD) AND MODEL- BASED TESTING (MBT) Sophia Antipolis, French Riviera 20-22 October 2015 WAY OF WORKING TRANSFORMATION TO INTEGRATED MODEL DRIVEN DEVELOPMENT (MDD) AND MODEL- BASED TESTING (MBT) Tiina Rantala (tiina.rantala@nokia.com), Pekka

More information

Software Defined Modem A commercial platform for wireless handsets

Software Defined Modem A commercial platform for wireless handsets Software Defined Modem A commercial platform for wireless handsets Charles F Sturman VP Marketing June 22 nd ~ 24 th Brussels charles.stuman@cognovo.com www.cognovo.com Agenda SDM Separating hardware from

More information

Code Generation for QEMU-SystemC Cosimulation from SysML

Code Generation for QEMU-SystemC Cosimulation from SysML Code Generation for QEMU- Cosimulation from SysML Da He, Fabian Mischkalla, Wolfgang Mueller University of Paderborn/C-Lab, Fuerstenallee 11, 33102 Paderborn, Germany {dahe, fabianm, wolfgang}@c-lab.de

More information

Chapter 02: Computer Organization Functional units and components in a computer organization Part 3 Bus Structures

Chapter 02: Computer Organization Functional units and components in a computer organization Part 3 Bus Structures Chapter 02: Computer Organization Functional units and components in a computer organization Part 3 Bus Structures Objective: Understand the IO Subsystem and Understand Bus Structures Understand the functions

More information

Frequently Asked Questions (FAQ)

Frequently Asked Questions (FAQ) Frequently Asked Questions (FAQ) Embedded Instrumentation: The future of advanced design validation, test and debug Why Embedded Instruments? The necessities that are driving the invention of embedded

More information

Codesign Framework. Parts of this lecture are borrowed from lectures of Johan Lilius of TUCS and ASV/LL of UC Berkeley available in their web.

Codesign Framework. Parts of this lecture are borrowed from lectures of Johan Lilius of TUCS and ASV/LL of UC Berkeley available in their web. Codesign Framework Parts of this lecture are borrowed from lectures of Johan Lilius of TUCS and ASV/LL of UC Berkeley available in their web. Embedded Processor Types General Purpose Expensive, requires

More information

Software Driven Verification at SoC Level. Perspec System Verifier Overview

Software Driven Verification at SoC Level. Perspec System Verifier Overview Software Driven Verification at SoC Level Perspec System Verifier Overview June 2015 IP to SoC hardware/software integration and verification flows Cadence methodology and focus Applications (Basic to

More information

Virtual Prototyping of Automotive Systems: Towards Multi-level Design Space Exploration

Virtual Prototyping of Automotive Systems: Towards Multi-level Design Space Exploration Virtual Prototyping of Automotive Systems: Towards Multi-level Design Space Exploration Letitia W. Li, Ludovic Apvrille, Daniela Genius Télécom ParisTech, Université Paris-Saclay, Institut Mines-Telecom

More information

From Analysis to Code Generation of Distributed Systems with a UML-Based Formal Environment Named TURTLE 2005

From Analysis to Code Generation of Distributed Systems with a UML-Based Formal Environment Named TURTLE 2005 From Analysis to Code Generation of Distributed Systems with a UML-Based Formal Environment Named TURTLE 2005 Ludovic Apvrille ludovic.apvrille@enst.fr Eurecom, Office 223 Ludovic Apvrille - October 12,

More information

Intel CoFluent Studio in Digital Imaging

Intel CoFluent Studio in Digital Imaging Intel CoFluent Studio in Digital Imaging Sensata Technologies Use Case Sensata Technologies www.sensatatechnologies.com Formerly Texas Instruments Sensors & Controls, Sensata Technologies is the world

More information

Functional verification on PIL mode with IAR Embedded Workbench

Functional verification on PIL mode with IAR Embedded Workbench by Cristina Marconcini, STM CASE s.r.l. Functional verification on PIL mode with IAR Embedded Workbench The increase of complexity of embedded system components combined with time-to-market constraints

More information

Model-Driven Performance Evaluation and Formal Verification for Multi-level Embedded System Design

Model-Driven Performance Evaluation and Formal Verification for Multi-level Embedded System Design Model-Driven Performance Evaluation and Formal Verification for Multi-level Embedded System Design Daniela Genius 1, Letitia W. Li 2, Ludovic Apvrille 2 1 Sorbonne Universités, UPMC Paris 06, LIP6, CNRS

More information

Hardware/Software Co-design

Hardware/Software Co-design Hardware/Software Co-design Zebo Peng, Department of Computer and Information Science (IDA) Linköping University Course page: http://www.ida.liu.se/~petel/codesign/ 1 of 52 Lecture 1/2: Outline : an Introduction

More information

From Analysis to Code Generation of Protocols and Embedded Software with a UML-Based Formal Environment Named TURTLE 2005

From Analysis to Code Generation of Protocols and Embedded Software with a UML-Based Formal Environment Named TURTLE 2005 From Analysis to Code Generation of Protocols and Embedded Software with a UML-Based Formal Environment Named TURTLE 2005 Ludovic Apvrille ludovic.apvrille@enst.fr Ludovic Apvrille - UML - 2005. Slide

More information

3.1. User Guide. Processor Expert and Device Initialization PROCESSOR EXPERT FOR FREESCALE HCS12 & HCS12X FAMILY. Freescale Semiconductor, Inc.

3.1. User Guide. Processor Expert and Device Initialization PROCESSOR EXPERT FOR FREESCALE HCS12 & HCS12X FAMILY. Freescale Semiconductor, Inc. Document Version 3.1 PROCESSOR EXPERT FOR FREESCALE HCS12 & HCS12X FAMILY Freescale Semiconductor, Inc. Processor Expert and Device Initialization User Guide PROCESSOR EXPERT AND DEVICE INITIALIZATION

More information

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools Wen-Yen Lin, Ph.D. Department of Electrical Engineering Chang Gung University Email: wylin@mail.cgu.edu.tw March 2013 Agenda Introduction

More information

EARLY CHECKING OF SYSML MODELS APPLIED TO PROTOCOLS

EARLY CHECKING OF SYSML MODELS APPLIED TO PROTOCOLS EARLY CHECKING OF SYSML MODELS APPLIED TO PROTOCOLS Pierre de SAQUI-SANNES, Rob. VINGERHOEDS ISAE-SUPAERO University of Toulouse pdss@isae-supaero.fr Ludovic APVRILLE LTCI, TelecomParisTech, Université

More information

The Embedded System Design Process. Wolf Text - Chapter 1.3

The Embedded System Design Process. Wolf Text - Chapter 1.3 The Embedded System Design Process Wolf Text - Chapter 1.3 Design methodologies for complex embedded systems? Design methodologies A procedure for designing a system. Understanding your methodology helps

More information

Optimizing HW/SW Partition of a Complex Embedded Systems. Simon George November 2015.

Optimizing HW/SW Partition of a Complex Embedded Systems. Simon George November 2015. Optimizing HW/SW Partition of a Complex Embedded Systems Simon George November 2015 Zynq-7000 All Programmable SoC HP ACP GP Page 2 Zynq UltraScale+ MPSoC Page 3 HW/SW Optimization Challenges application()

More information

ADVANCED DIGITAL IC DESIGN. Digital Verification Basic Concepts

ADVANCED DIGITAL IC DESIGN. Digital Verification Basic Concepts 1 ADVANCED DIGITAL IC DESIGN (SESSION 6) Digital Verification Basic Concepts Need for Verification 2 Exponential increase in the complexity of ASIC implies need for sophisticated verification methods to

More information

Growth outside Cell Phone Applications

Growth outside Cell Phone Applications ARM Introduction Growth outside Cell Phone Applications ~1B units shipped into non-mobile applications Embedded segment now accounts for 13% of ARM shipments Automotive, microcontroller and smartcards

More information

Towards AADL to SystemC mapping for partitioned systems. Etienne Borde Laurent Pautet Marc Gatti

Towards AADL to SystemC mapping for partitioned systems. Etienne Borde Laurent Pautet Marc Gatti Towards AADL to SystemC mapping for partitioned systems Michael Lafaye Etienne Borde Laurent Pautet Marc Gatti Presentation of a First Mapping Prototype: AADL to SystemC for Avionics Partitioned Systems

More information

A Model-based, Single-Source approach to Design-Space Exploration and Synthesis of Mixed-Criticality Systems

A Model-based, Single-Source approach to Design-Space Exploration and Synthesis of Mixed-Criticality Systems A Model-based, Single-Source approach to Design-Space Exploration and Synthesis of Mixed-Criticality Systems Reusability Optimization Architectural Mapping Schedulablity Analysis SW Synthesis Simulation

More information

The CoreConnect Bus Architecture

The CoreConnect Bus Architecture The CoreConnect Bus Architecture Recent advances in silicon densities now allow for the integration of numerous functions onto a single silicon chip. With this increased density, peripherals formerly attached

More information

RAMSES. Refinement of AADL Models for the Synthesis of Embedded Systems. Etienne Borde

RAMSES. Refinement of AADL Models for the Synthesis of Embedded Systems. Etienne Borde Refinement of AADL Models for the Synthesis of Embedded Systems Etienne Borde etienne.borde@telecom-paristech.fr AADL: Architecture Analysis and Design Language We use AADL to model SCES architectures:

More information

ECE 448 Lecture 15. Overview of Embedded SoC Systems

ECE 448 Lecture 15. Overview of Embedded SoC Systems ECE 448 Lecture 15 Overview of Embedded SoC Systems ECE 448 FPGA and ASIC Design with VHDL George Mason University Required Reading P. Chu, FPGA Prototyping by VHDL Examples Chapter 8, Overview of Embedded

More information

ASIC world. Start Specification Design Verification Layout Validation Finish

ASIC world. Start Specification Design Verification Layout Validation Finish AMS Verification Agenda ASIC world ASIC Industrial Facts Why Verification? Verification Overview Functional Verification Formal Verification Analog Verification Mixed-Signal Verification DFT Verification

More information

FPGA & Hybrid Systems in the Enterprise Drivers, Exemplars and Challenges

FPGA & Hybrid Systems in the Enterprise Drivers, Exemplars and Challenges Bob Blainey IBM Software Group 27 Feb 2011 FPGA & Hybrid Systems in the Enterprise Drivers, Exemplars and Challenges Workshop on The Role of FPGAs in a Converged Future with Heterogeneous Programmable

More information

AN OBJECT-ORIENTED VISUAL SIMULATION ENVIRONMENT FOR QUEUING NETWORKS

AN OBJECT-ORIENTED VISUAL SIMULATION ENVIRONMENT FOR QUEUING NETWORKS AN OBJECT-ORIENTED VISUAL SIMULATION ENVIRONMENT FOR QUEUING NETWORKS Hussam Soliman Saleh Al-Harbi Abdulkader Al-Fantookh Abdulaziz Al-Mazyad College of Computer and Information Sciences, King Saud University,

More information

UML, SysML and MARTE in Use, a High Level Methodology for Real-time and Embedded Systems

UML, SysML and MARTE in Use, a High Level Methodology for Real-time and Embedded Systems UML, SysML and MARTE in Use, a High Level Methodology for Real-time and Embedded Systems Alessandra Bagnato *, Imran Quadri and Andrey Sadovykh * TXT e-solutions (Italy) Softeam (France) Presentation Outline

More information

SpecC Methodology for High-Level Modeling

SpecC Methodology for High-Level Modeling EDP 2002 9 th IEEE/DATC Electronic Design Processes Workshop SpecC Methodology for High-Level Modeling Rainer Dömer Daniel D. Gajski Andreas Gerstlauer Center for Embedded Computer Systems Universitiy

More information

Overview of Digital Design with Verilog HDL 1

Overview of Digital Design with Verilog HDL 1 Overview of Digital Design with Verilog HDL 1 1.1 Evolution of Computer-Aided Digital Design Digital circuit design has evolved rapidly over the last 25 years. The earliest digital circuits were designed

More information

A user s guide to selecting the right computer-on-module (COM)

A user s guide to selecting the right computer-on-module (COM) A user s guide to selecting the right computer-on-module (COM) Computer-on-modules (COMs) are time-saving and cost-effective embedded computer building blocks. Acal BFi have an extensive range of form

More information

Interconnecting Components

Interconnecting Components Interconnecting Components Need interconnections between CPU, memory, controllers Bus: shared communication channel Parallel set of wires for data and synchronization of data transfer Can become a bottleneck

More information

An innovative compilation tool-chain for embedded multi-core architectures M. Torquati, Computer Science Departmente, Univ.

An innovative compilation tool-chain for embedded multi-core architectures M. Torquati, Computer Science Departmente, Univ. An innovative compilation tool-chain for embedded multi-core architectures M. Torquati, Computer Science Departmente, Univ. Of Pisa Italy 29/02/2012, Nuremberg, Germany ARTEMIS ARTEMIS Joint Joint Undertaking

More information

Automatic Generation of Communication Architectures

Automatic Generation of Communication Architectures i Topic: Network and communication system Automatic Generation of Communication Architectures Dongwan Shin, Andreas Gerstlauer, Rainer Dömer and Daniel Gajski Center for Embedded Computer Systems University

More information

Multi-core microcontroller design with Cortex-M processors and CoreSight SoC

Multi-core microcontroller design with Cortex-M processors and CoreSight SoC Multi-core microcontroller design with Cortex-M processors and CoreSight SoC Joseph Yiu, ARM Ian Johnson, ARM January 2013 Abstract: While the majority of Cortex -M processor-based microcontrollers are

More information

S2C K7 Prodigy Logic Module Series

S2C K7 Prodigy Logic Module Series S2C K7 Prodigy Logic Module Series Low-Cost Fifth Generation Rapid FPGA-based Prototyping Hardware The S2C K7 Prodigy Logic Module is equipped with one Xilinx Kintex-7 XC7K410T or XC7K325T FPGA device

More information

VERIFICATION OF RISC-V PROCESSOR USING UVM TESTBENCH

VERIFICATION OF RISC-V PROCESSOR USING UVM TESTBENCH VERIFICATION OF RISC-V PROCESSOR USING UVM TESTBENCH Chevella Anilkumar 1, K Venkateswarlu 2 1.2 ECE Department, JNTU HYDERABAD(INDIA) ABSTRACT RISC-V (pronounced "risk-five") is a new, open, and completely

More information

Software Development Using Full System Simulation with Freescale QorIQ Communications Processors

Software Development Using Full System Simulation with Freescale QorIQ Communications Processors Patrick Keliher, Simics Field Application Engineer Software Development Using Full System Simulation with Freescale QorIQ Communications Processors 1 2013 Wind River. All Rights Reserved. Agenda Introduction

More information

Design of Embedded Systems

Design of Embedded Systems Design of Embedded Systems José Costa Software for Embedded Systems Departamento de Engenharia Informática (DEI) Instituto Superior Técnico 2015-01-02 José Costa (DEI/IST) Design of Embedded Systems 1

More information

Easy Multicore Programming using MAPS

Easy Multicore Programming using MAPS Easy Multicore Programming using MAPS Jeronimo Castrillon, Maximilian Odendahl Multicore Challenge Conference 2012 September 24 th, 2012 Institute for Communication Technologies and Embedded Systems Outline

More information

Distributed Systems Programming (F21DS1) Formal Verification

Distributed Systems Programming (F21DS1) Formal Verification Distributed Systems Programming (F21DS1) Formal Verification Andrew Ireland Department of Computer Science School of Mathematical and Computer Sciences Heriot-Watt University Edinburgh Overview Focus on

More information

Software-Level Power Estimation

Software-Level Power Estimation Chapter 3 Software-Level Power Estimation The main goal of this chapter is to define a methodology for the static and dynamic estimation of the power consumption at the software-level to be integrated

More information

Persiform: Performance Engineering Based on

Persiform: Performance Engineering Based on Persiform: Performance Engineering Based on Simulation of Formal Functional Models Olivier Constant, Marius Bozga, Susanne Graf -- Verimag, Grenoble Nicolas Moteau, Wei Monin -- France Telecom R&D 2007

More information

3.1. User Guide. Processor Expert and Device Initialization. PROCESSOR EXPERT FOR FREESCALE ColdFire FAMILY. Freescale Semiconductor, Inc.

3.1. User Guide. Processor Expert and Device Initialization. PROCESSOR EXPERT FOR FREESCALE ColdFire FAMILY. Freescale Semiconductor, Inc. Document Version 3.1 PROCESSOR EXPERT FOR FREESCALE ColdFire FAMILY Freescale Semiconductor, Inc. Processor Expert and Device Initialization User Guide PROCESSOR EXPERT AND DEVICE INITIALIZATION FOR FREESCALE

More information

Optimizing Emulator Utilization by Russ Klein, Program Director, Mentor Graphics

Optimizing Emulator Utilization by Russ Klein, Program Director, Mentor Graphics Optimizing Emulator Utilization by Russ Klein, Program Director, Mentor Graphics INTRODUCTION Emulators, like Mentor Graphics Veloce, are able to run designs in RTL orders of magnitude faster than logic

More information

Operating Systems. V. Input / Output

Operating Systems. V. Input / Output Operating Systems V. Input / Output Ludovic Apvrille ludovic.apvrille@telecom-paristech.fr Eurecom, office 470 http://soc.eurecom.fr/os/ @OS Eurecom Devices of a Computer System Applications OS CPU Memory

More information

Deliverable D4.2.3: LLD Modeling, Verification and Automatic C-Code Generation

Deliverable D4.2.3: LLD Modeling, Verification and Automatic C-Code Generation Project acronym: EVITA Project title: E-safety vehicle intrusion protected applications Project reference: 224275 Program: Seventh Research Framework Program (2007 2013) of the European Community Objective:

More information

Verification by the book: ISA Formal at ARM

Verification by the book: ISA Formal at ARM Verification by the book: ISA Formal at ARM Will Keen Senior Engineer, CPU Group ARM Ltd TVS Formal Verification Conference 27/06/2017 Roadmap Problem: CPU verification is really hard! Verification by

More information

FOUR INDEPENDENT TOOLS TO MANAGE COMPLEXITY INHERENT TO DEVELOPING STATE OF THE ART SYSTEMS. DEVELOPER SPECIFIER TESTER

FOUR INDEPENDENT TOOLS TO MANAGE COMPLEXITY INHERENT TO DEVELOPING STATE OF THE ART SYSTEMS. DEVELOPER SPECIFIER TESTER TELECOM AVIONIC SPACE AUTOMOTIVE SEMICONDUCTOR IOT MEDICAL SPECIFIER DEVELOPER FOUR INDEPENDENT TOOLS TO MANAGE COMPLEXITY INHERENT TO DEVELOPING STATE OF THE ART SYSTEMS. TESTER PragmaDev Studio is a

More information

IMPROVES. Initial Investment is Low Compared to SoC Performance and Cost Benefits

IMPROVES. Initial Investment is Low Compared to SoC Performance and Cost Benefits NOC INTERCONNECT IMPROVES SOC ECONO CONOMICS Initial Investment is Low Compared to SoC Performance and Cost Benefits A s systems on chip (SoCs) have interconnect, along with its configuration, verification,

More information

Embedded Hardware and Software

Embedded Hardware and Software Embedded Hardware and Software Saved by a Common Language? Nithya A. Ruff, Director, Product Marketing 10/11/2012, Toronto Synopsys 2012 1 Synopsys Industry Leadership $1,800 $1,600 $1,400 $1,200 $1,000

More information

Operating Systems. IV. Memory Management

Operating Systems. IV. Memory Management Operating Systems IV. Memory Management Ludovic Apvrille ludovic.apvrille@telecom-paristech.fr Eurecom, office 470 http://soc.eurecom.fr/os/ @OS Eurecom Outline Basics of Memory Management Hardware Architecture

More information

Multi-protocol controller for Industry 4.0

Multi-protocol controller for Industry 4.0 Multi-protocol controller for Industry 4.0 Andreas Schwope, Renesas Electronics Europe With the R-IN Engine architecture described in this article, a device can process both network communications and

More information

New concept in automation: epac. November 2015

New concept in automation: epac. November 2015 New concept in automation: epac November 2015 21 st century megatrends are significantly changing the industrial world Connectivi ty Safety and Security Agility Scarcity of Resources 2 Connectivity 50

More information

DEVELOPMENT OF USER FRIENDLY DATA ACQUISITION AND ACTUATION SYSTEM ON EMBEDDED PLATFORM

DEVELOPMENT OF USER FRIENDLY DATA ACQUISITION AND ACTUATION SYSTEM ON EMBEDDED PLATFORM DEVELOPMENT OF USER FRIENDLY DATA ACQUISITION AND ACTUATION SYSTEM ON EMBEDDED PLATFORM 1 Moolya Ashwar Shankar, 2 Mr. Sukesh Rao M. 1 PG Scholar, 2 Assistant Professor, NMAMIT Nitte Email: 1 moolya.ashwar@gmail.com,

More information

SoC Systeme ultra-schnell entwickeln mit Vivado und Visual System Integrator

SoC Systeme ultra-schnell entwickeln mit Vivado und Visual System Integrator SoC Systeme ultra-schnell entwickeln mit Vivado und Visual System Integrator FPGA Kongress München 2017 Martin Heimlicher Enclustra GmbH Agenda 2 What is Visual System Integrator? Introduction Platform

More information

ECE 587 Hardware/Software Co-Design Lecture 11 Verification I

ECE 587 Hardware/Software Co-Design Lecture 11 Verification I ECE 587 Hardware/Software Co-Design Spring 2018 1/23 ECE 587 Hardware/Software Co-Design Lecture 11 Verification I Professor Jia Wang Department of Electrical and Computer Engineering Illinois Institute

More information

CAN on Integration Technologies

CAN on Integration Technologies CAN on Integration Technologies CAN technology has reached the mature state where the powerful network technology is well covered by standard parts; mainly processors with integrated CAN periphery. Nevertheless

More information

Telecommunications. Case Study SFR

Telecommunications. Case Study SFR Case Study SFR Telecommunications With the Varnish Massive Storage Engine, SFR could cache virtually unlimited objects and deliver fast performance at scale. Video on demand is one of the reasons SFR needs

More information

Real-Time Operating Systems. Ludovic Apvrille Eurecom, office

Real-Time Operating Systems. Ludovic Apvrille Eurecom, office Ludovic Apvrille ludovic.apvrille@telecom-paristech.fr Eurecom, office 470 http://soc.eurecom.fr/os/ @OS Eurecom Embedded systems in a nutshell Real-time systems in a nutshell Examples of real-time and

More information

Capella to SysML Bridge: A Tooled-up Methodology for MBSE Interoperability

Capella to SysML Bridge: A Tooled-up Methodology for MBSE Interoperability Capella to SysML Bridge: A Tooled-up Methodology for MBSE Interoperability Nesrine BADACHE, ARTAL Technologies, nesrine.badache@artal.fr Pascal ROQUES, PRFC, pascal.roques@prfc.fr Keywords: Modeling, Model,

More information

Module 6: INPUT - OUTPUT (I/O)

Module 6: INPUT - OUTPUT (I/O) Module 6: INPUT - OUTPUT (I/O) Introduction Computers communicate with the outside world via I/O devices Input devices supply computers with data to operate on E.g: Keyboard, Mouse, Voice recognition hardware,

More information

Part III. Issues in Search Computing

Part III. Issues in Search Computing Part III Issues in Search Computing Introduction to Part III: Search Computing in a Nutshell Prior to delving into chapters discussing search computing in greater detail, we give a bird s eye view of its

More information

A Fast Hardware/Software Co-Verification Method for System-On-a-Chip by Using a C/C++ Simulator and FPGA Emulator with Shared Register Communication

A Fast Hardware/Software Co-Verification Method for System-On-a-Chip by Using a C/C++ Simulator and FPGA Emulator with Shared Register Communication A Fast Hardware/Software Co-Verification Method for System-On-a-Chip by Using a Simulator and Emulator with Shared Register Communication 19.2 Yuichi Nakamura, Kouhei Hosokawa, Ichiro Kuroda Media and

More information

Vector vmdm TechLunch, October 24 th 2018, Novi Mi

Vector vmdm TechLunch, October 24 th 2018, Novi Mi Vector vmdm TechLunch, October 24 th 2018, Novi Mi Measurement Data Visualization, Analysis and Reporting V1.0 2018-02-09 vmdm Solution Overview Motivation for a Measurement Data Management System vmdm

More information

Combining Arm & RISC-V in Heterogeneous Designs

Combining Arm & RISC-V in Heterogeneous Designs Combining Arm & RISC-V in Heterogeneous Designs Gajinder Panesar, CTO, UltraSoC gajinder.panesar@ultrasoc.com RISC-V Summit 3 5 December 2018 Santa Clara, USA Problem statement Deterministic multi-core

More information

Research Progress on Compilers for DSP Cores with Specifications

Research Progress on Compilers for DSP Cores with Specifications Research Progress on Compilers for DSP Cores with Specifications Ching-ren Lee, Jenq-Kuen Lee crlee@pllab.cs.nthu.edu.tw, jklee@cs.nthu.edu.tw Programming Language Research Lab. Department of Computer

More information

Bibliography. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, Practical Software Reuse, Donald J. Reifer, Wiley, 1997.

Bibliography. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, Practical Software Reuse, Donald J. Reifer, Wiley, 1997. Bibliography Books on software reuse: 1. 2. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, 1997. Practical Software Reuse, Donald J. Reifer, Wiley, 1997. Formal specification and verification:

More information

SoC Verification Methodology. Prof. Chien-Nan Liu TEL: ext:

SoC Verification Methodology. Prof. Chien-Nan Liu TEL: ext: SoC Verification Methodology Prof. Chien-Nan Liu TEL: 03-4227151 ext:4534 Email: jimmy@ee.ncu.edu.tw 1 Outline l Verification Overview l Verification Strategies l Tools for Verification l SoC Verification

More information

Long Term Trends for Embedded System Design

Long Term Trends for Embedded System Design Long Term Trends for Embedded System Design Ahmed Amine JERRAYA Laboratoire TIMA, 46 Avenue Félix Viallet, 38031 Grenoble CEDEX, France Email: Ahmed.Jerraya@imag.fr Abstract. An embedded system is an application

More information

ESE Back End 2.0. D. Gajski, S. Abdi. (with contributions from H. Cho, D. Shin, A. Gerstlauer)

ESE Back End 2.0. D. Gajski, S. Abdi. (with contributions from H. Cho, D. Shin, A. Gerstlauer) ESE Back End 2.0 D. Gajski, S. Abdi (with contributions from H. Cho, D. Shin, A. Gerstlauer) Center for Embedded Computer Systems University of California, Irvine http://www.cecs.uci.edu 1 Technology advantages

More information

Executive Summary. Round Trip Engineering of Space Systems. Change Log. Executive Summary. Visas

Executive Summary. Round Trip Engineering of Space Systems. Change Log. Executive Summary. Visas Reference: egos-stu-rts-rp-1002 Page 1/7 Authors: Andrey Sadovykh (SOFTEAM) Contributors: Tom Ritter, Andreas Hoffmann, Jürgen Großmann (FHG), Alexander Vankov, Oleg Estekhin (GTI6) Visas Surname - Name

More information

In the Driver s Seat

In the Driver s Seat In the Driver s Seat Use Cases of Qt in Automotive Dr Tuukka Ahoniemi Product Manager tuukka.ahoniemi@theqtcompany.com Contents Requirements for Automotive Systems Transition of Automotive Software Power

More information

Design Methodologies. Kai Huang

Design Methodologies. Kai Huang Design Methodologies Kai Huang News Is that real? In such a thermally constrained environment, going quad-core only makes sense if you can properly power gate/turbo up when some cores are idle. I have

More information

FPGA-Based Embedded Systems for Testing and Rapid Prototyping

FPGA-Based Embedded Systems for Testing and Rapid Prototyping FPGA-Based Embedded Systems for Testing and Rapid Prototyping Martin Panevsky Embedded System Applications Manager Embedded Control Systems Department The Aerospace Corporation Flight Software Workshop

More information

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS Embedded System System Set of components needed to perform a function Hardware + software +. Embedded Main function not computing Usually not autonomous

More information

UML Profile for MARTE: Time Model and CCSL

UML Profile for MARTE: Time Model and CCSL UML Profile for MARTE: Time Model and CCSL Frédéric Mallet 1 Université Nice Sophia Antipolis, Aoste team INRIA/I3S, Sophia Antipolis, France Frederic.Mallet@unice.fr Abstract. This 90 minutes tutorial

More information