EFFICIENT SYNCHRONOUS PARALLEL DISCRETE EVENT SIMULATION

Size: px
Start display at page:

Download "EFFICIENT SYNCHRONOUS PARALLEL DISCRETE EVENT SIMULATION"

Transcription

1 EFFICIENT SYNCHRONOUS PARALLEL DISCRETE EVENT SIMULATION WITH THE ARMEN ARCHITECTURE C. Beaumont, B. Potter J.M. Flloque LIBr I.U.T. de Brest and LIBr Unversté de Bretagne Occdentale Télécom Bretagne BP 452 Brest, France BP 832 Brest, France ABSTRACT Acceleratng dscrete event smulaton can be acheved n two prncpal ways : ether by usng dedcated coprocessors n order to speed up event evaluaton or control task executon (such as enqueue/dequeue) and/or by developng or mprovng algorthms and protocols whch take beneft of today s wdely used general purpose parallel computers. In ths paper, we consder the second pont. More precsely, we show how some hardware complement to a conventonal parallel machne (here a Transputer network) sgnfcantly mproves global control operatons n dstrbuted smulaton. We outlne the frst results obtaned wth the synchronous smulaton kernel and expose the further developments they mply wth asynchronous protocols. INTRODUCTION The fact s now well known that one of the best ways to speed up executon of smulaton should be obtaned through the use of parallel archtectures. Indeed, the use of dedcated coprocessors n order to accelerate computaton s only possble n some specal cases lke logc smulaton. Parallel dscrete event smulaton (PDES) requres the management of a vrtual tme, shared by all the processors, nstead of the sngle logcal clock of sequental algorthms. Many protocols have been proposed n order to mantan the causalty constrant between events executed on each node. Reynolds has shown that the knowledge of global mnmum of local vrtual tme would mprove the PDES performances (Reynolds 1991). For a conventonal MIMD machne lke a Transputer network, the mplementaton of global controllers mplementng such computatons mples sgnfcant overheads, and nvolves mportant delays bound to message transmssons. Some new MIMD parallel machnes, lke the CM5 (TMC 1991) or the Volvox Machne from Archpel Company, offer a specfc hgh speed control network. For example, the CM5 s desgned wth a fat tree network n whch each node has computng capabltes. All global nformaton s avalable n less than 2:5 mcroseconds. The machne ArMen (Potter 1991) s the frst mplementaton of an archtecture where a programmable logc layer s assocated to a Transputer network n order to counter the lmtatons of standard parallel machnes. Ths confgurable logc layer (CLL) s used to synthesze hardware programmable accelerators. Ths paper wll show that a crtcal part of the control computatons can be undertaken by the CLL, n parallel wth the smulaton productve work executed on node processors and on the prmary communcaton network. We also present frst results obtaned wth the ArMen archtecture executng a synchronous smulaton. The very frst results show a speed-up n range 40 to 20,000 for the executon of global control operatons, dependng on the knd of mplementaton we choose n the logc layer. The paper s structured as follows: n the frst secton, we brefly descrbe the ArMen archtecture and the servces t provdes wth ts logc layer. Then we present the mplemented synchronous protocol whch nsures properly ordered event executon. We show where the overhead of the protocol s located and how we mplement these functons n hardware. The prelmnary results obtaned wth a smple synchronous smulaton kernel are exposed n the next secton, pontng out the mprovement achevable by the use of the logc layer of ArMen. Fnally, we dscuss these results and conclude wth an outlne of future work they mply. ARMEN ARCHITECTURE The ArMen machne s the prototype of an orgnal archtecture developed at Laboratore d Informatque de Brest. The basc dea s to combne a reprogrammable logc layer wth a conventonal MIMD machne. Current mplementaton nvolves modules bult wth a T800 Transputer, a Xlnx 3090 Logc Cell Array (LCA) (XIL- INX 1990), and a 1Mbyte statc memory. Logc Cell Arrays are reprogrammable crcuts organzed as an array of 1620 logc cells and wth four 36-bt ports. Cells can mplement boolean functons of up to 5 varables. The processor network s confgured and controlled by lnk swtches from a host computer nterface board. Each processor s n charge of the confguraton of ts local LCA, an operaton performed n less than 100ms. Programmable crcuts are connected together to form a

2 rng wth a 32-bt data path. Fgure 1 shows the structure of the machne and a two-node nterconnecton. Host Prmary Network They enable use of hgh level languages to specfy the behavor of the hard-wred coprocessors. The processors of the MIMD machne have access to the specfed servces by smple memory-lke read and wrte or va nterrupt channels. CLL control T800 Processor Local bus LCA A node Memory T800 Processor Local bus LCA MIMD Level Memory CLL Level SYNCHRONOUS DISTRIBUTED SIMULATION The man problem n PDES s the management of dstrbuted vrtual tme mposed by the central scheduler s dstrbuton among processors. The causalty constrant mposes that the messages receved by a process have to be consdered n globally non-decreasng tmestamp order. In order to respect ths rule, two man approaches (also called protocols ) may be used whch are: FIGURE 1: TWO ARMEN NODES CONNECTED THROUGH THE PROGRAMMABLE LOGIC LAYER An LCA can be used as a local coprocessor of ts assocated T800, or as part of a global coprocessor of the whole MIMD network. Ths archtecture s composed of three parallel layers: the communcaton system, the sequental processor, and the syntheszed operator arrays whch mplement the Confgurable Logc Layer (CLL). The CLL s fully avalable to provde applcaton speed-ups or addtonal servces lke: 1. Local acceleraton for data ntensve computatons wth the synthess of dedcated data-flow parallel operator networks (Potter and Lavener 1989). 2. The synthess of a global synchronous shared operator whch processes data from the nodes array (Bouazza et al. 1991). Ths knd of operator s dedcated to one or several calculatons performed by all nodes at the same tme, n an SPMD mode. It can be seen as a large ALU fed by the processor array. Very good results have been obtaned wth the synthess of mage processng operators based on cellular automata theory. 3. Global support for the control of dstrbuted algorthms (Flloque et al. 1991). Global autonomous processors can be bult. They can detect stable propertes or perform dstrbuted computatons, so as to gve complementary servces to the MIMD machne wth very lttle overhead. It s notceable that these dfferent servces are complementary and not exclusve. Programmng of such a machne s dffcult and thus software tools are beng developed to take off hardware work. A compler for global operators based on cellular automata (Bouazza et al. 1991) and a compler for dstrbuted control algorthms based on the UNITY formalsm (Dhaussy and Rubn 1992) are under development. the synchronous approach (Peacock et al. 1979), whch we mplement and dscuss later n ths paper; the asynchronous approach, where each processor s free to progress n vrtual tme as quck as t wants. The respect of the causalty constrant (.e., the consstency n event executon order) s obtaned ether by a pessmstc (Chandy and Msra 1979; Chandy and Msra 1981) or an optmstc (Jefferson 1985) method. Implementaton of the phase algorthm The protocol mplemented n order to dstrbute the global scheduler of sequental smulaton among the processor network conssts n allowng the parallel executon of all events wth the same date n vrtual tme. We call t phase protocol because one has to wat untl all event computatons for the current date (.e. phase) are completed before one can proceed to the next date (.e. phase). Determnng whch wll be the next date n vrtual tme to be smulated s acheved by a global computaton. Ths next date, called Global Vrtual Tme (GVT), s the global mnmum of all Local Vrtual Tmes (LVT) (.e., all event tmestamps on each node). Once ths mnmum s calculated and broadcasted, the protocol allows the parallel executon of the correspondng events on each node. The man loop of ths algorthm s gven n table 1. It s notceable that f a processor has no more events to process n ts local scheduler, t then sends a huge value HUGEVAL as ts local vrtual tme. Ths huge value won t bother GVT computaton (remember GV T = mn 2P (LV T ) ) and f ths value becomes the result of the global computaton, t means that smulaton s completed. Indeed, there are no more events to process n schedulers, and no messages are n transt due to the protocol. Thus, the loop-test from lne (I) can be rewrtten as: GVT6= HUGEVAL (I) Ths protocol clearly respects the causalty constrant snce all processors are always executng events wth

3 Synchronous DES : GVT=GVT Computaton(tWakeUp mn ); / Global mnmum computaton / / and broadcast / whle ( : End Smulaton) { (I) f ( GVT == twakeup mn ) { Model evaluaton; Sendng of generated messages; Watng for acknowledgments ; } Global Synchronzaton(); / : : : n order to be sure that / / every executon s over n / / the current tme step / twakeup mn evaluaton ; / Local mnmum search / GVT=GVT Computaton(tWakeUp mn ); / New global mnmum / / computaton and broadcast / } TABLE 1: MAIN LOOP OF OUR phase-protocol same the tmestamp. Ths approach was proposed n (Peacock et al. 1979) and s dscussed n (Flloque 1992). In such a dstrbuted synchronous smulaton kernel, the two man global control operatons are: () the synchronzaton barrer that every processor must reach before the global vrtual tme can progress (.e., functon Global Synchronzaton n table 1), () the calculaton of the global mnmum of all the local vrtual tmes n order to determne the next date to be smulated (.e., functon GVT Computaton). These two global operatons have been mplemented n the CLL of the ArMen machne. Hardware mplementaton Consderng the synchronzaton barrer (fg. 2), every Transputer sends a flag to ts LCA ndcatng ts wsh to synchronze. Observng results from a ppelned AND-functon along the rng structure of the CLL, an automaton on node 0 can send a flag when every processor has reached the barrer, that s when t receves TRUE from the ppelne. Once woken up, the processors are sure that no more messages wll be sent for the current tme step, so they have to determne whch wll be the next date n vrtual tme to be smulated. The global mnmum calculaton can then be mplemented n ether a dgt-seral or a parallel way. In the dgt-seral method, all processors contrbute to the calculaton at the same tme under control of the smulaton kernel. The possblty of ArMen to shft n an SPMD mode s effcent for ths knd of computaton. The functon mplemented n the LCA (see fg. 3) computes the mnmum between three values: the local one and the two adjacent ones. For each node, the Transputer wrtes ts local mnmum n the LCA begnnng wth the hgh order bt and reads back the result. The number of terated read/wrte operatons s dependent on the processor number, on the sze of the mnmum, and on the start ready 0 start 0 ready start n-2 n-2 ready n-1 start n-1 Automaton LCA 0 ready start e & LCA n start = false start start = true ready & LCA n-1 nt start = false ready FIGURE 2: SYNCHRONIZER S IMPLEMENTATION ON THE CLL AND NODE 0 FINITE STATE AUTOMATON wdth of the dgts wrtten by processors. Lmtng the access number of the T800s on ths global operator s acheved by ppelnng the functon n the CLL and/or by consderng wder dgts (2, 4, 8 bts). The parallel method uses the rng structure of the CLL as a systolc communcaton channel. Two global operatons are executed: the frst computes the global mnmum n a systolc fashon (LCA receves mn 0j?1 (val j ) from LCA?1 and sends mn 0j (val j ) to LCA +1 ). The second operaton broadcasts the global result to each node. The later method has not been mplemented yet. PERFORMANCES The model we choose to test our synchronous smulaton kernel s a 2D-torus where every process executes the same code (table 2). It s obvous that n such a process REPEAT receve msg from port N or W at tme t; pseudo-treatment; send msg to port E or S (alternatvely) for tme t+1; UNTIL local tme == end smulaton; TABLE 2: CODE OF THE SIMULATED PROCESSES the volume of messages s proportonal to the number of smulated processes. The pseudo-treatment s nserted to represent the effectve smulaton computaton (one unt of pseudo-treatment lasts about 0.5 ms). The executon tmes and relatve speed-ups obtaned for the smulaton of such a torus of 64 processes are gven n fgures 4 and 5. The man results to notce are: the use of the CLL always mproves executon tmes, compared to pure software parallel executon; the dstrbuton overhead s hdden behnd computaton snce the CLL s used, as soon as there are event calculatons;

4 Global and local clocks a b c d e f Processors f a fab abc bcd cde def efa efabc fabcd abcde bcdef cdefa defab Cellular automata network for mnmum computaon FIGURE 3: DIGIT-SERIAL IMPLEMENTATION OF THE GLOBAL MINIMUM COMPUTATION AND BROADCAST Tme (n msec.) when the volume of pseudo-treatment grows, the curves are convergng. Ths s due to the fact that the CLL only speeds up the global control operatons, and not event evaluatons nodes + LCA 2 nodes + LCA 4 nodes 2 nodes 1 node Speed-up relatve to sequental executon Number of nodes No treatment 1 treatment 2 treatments 4 treatments 8 treatments 16 treatments Max. speed-up achevable 20 FIGURE 5: RELATIVE SPEED-UP OBTAINED FOR A 64 PROCESS SIMULATION USING CLL Computaton s volume (n unt of pseudo-treatment) FIGURE 4: EXECUTION TIME OF 64 PROCESS SIMULATION Another pont worth beng mentoned s the speed-up obtaned on control operatons (see table 3). Usng the CLL, we acheve (compared to a pure software soluton usng the Trollus operatng system (Burns et al. 1990)) speed-up n order of: 40 for a global mnmum computaton on four 32- bt nteger values usng the dgt-seral method, wth 1-bt dgts and one level of ppelne n the LCA. Consderng, for example 8-bt dgts and 2 levels of ppelne, the speed-up s then 600, and 650 when computed on eght values wth 8-bt dgts and 4 levels of ppelne. It s also mportant to notce that we don t really need 32-bt values, as we can substract the last value of vrtual tme of the local mnmum; 1,000 to 3,500 for the synchronzaton barrer (these poor results are due to the performances of the Transputer. We here use nterrupton-sgnals to synchronze processors. The sgnal transfer tme n a LCA lasts n fact about 20 ns); 20,000 for a global mnmum computaton on four 32-bt nteger values usng the parallel method (estmated results consderng a sngle-lca traversal delay of 50ns).

5 Number of nodes / Global operaton Synchronzaton - pure soft wth CLL Global mnmum - pure soft wth CLL TABLE 3: EXECUTION TIME FOR GLOBAL OPERATIONS ON THE ARMEN ARCHITECTURE (TIMES ARE GIVEN IN S, GLOBAL MINIMUM IS COMPUTED IN BIT-SERIAL WAY ON 32-BIT VALUES) CONCLUSION AND FURTHER WORKS The speed-ups obtaned for control computaton by the use of the CLL mprove sgnfcantly the performances of the smulaton n case where a large amount of events are treated at each tme step: consderng an n n torus, the smulaton computes n n events at each tme step. As the number of events decreases, the synchronous approach wll be surpassed by the asynchronous one. Ths last method requres other mplementatons because global control doesn t act the same way. Correspondng work has begun wth a study on possble approaches mplementable n the CLL (Flloque 1992). In partcular, the CLL provdes effcent possbltes to compute local functons of selected contrbuted values. It s ntended to mplement asynchronous protocols based on the mnma of vrtual tme from processor subsets (the neghbourhood of each processor). The effectve mplementatons and tests are stll to be realzed. Another possblty to mprove PDES s studed by Drkx (Drkx 1993) and conssts n mplementng a hardware scheduler n an ASIC assocated wth a Transputer node. Wth a large LCA, t wll be possble to assocate both control and event schedulng n hardware to obtan better performances. We plan to work n the same drecton. REFERENCES Bouazza, K.; Champeau, J.; Ng, P.; Potter, B.; and Rubn, S. (1991). Implementng Cellular Automata on the ArMen Machne. In Qunton, P. and Robert, Y., edtors, Proceedngs of the Workshop on Algorthms and Parallel VLSI archtectures II, pages Elveser. Burns, G.; Radya, V.; Daoud, R.; and Machraju, R. (1990). All about Trollus. Occam User s Group Newsletter, pages Chandy, K. and Msra, J. (1981). Asynchronous dstrbuted smulaton va a sequence of parallel computatons. Communcatons of the ACM, 24(11): Dhaussy, P. and Rubn, S. (1992). Specfcaton and Complaton of Dstrbuted Algorthms for the Ar- Men Machne. Techncal Report 92-02, LIBr - Télécom Bretagne. Drkx, E. (1993). Dscrete Event Smulaton on a MIMD Paarallel Computer : Algorthm Optmzaton or Hardware acceleraton? In Proceedngs of EW- PDP 93, Gran Canara, pages Flloque, J. (1992). Synchronsaton réparte sur une machne à couche logque reconfgurable. PhD thess, Unversté de Rennes 1. Flloque, J.; Gautrn, E.; and Potter, B. (1991). Effcent computaton on processor network wth programmable logc. In Proceedng s of PARLE 91, number 505 n LNCS, pages Sprnger- Verlag. Jefferson, D. (1985). Vrtual Tme. ACM Transactons on Programmng Languages and Systems, 7(3): Peacock, J.; Manng, E.; and Wong, J. (1979). Dstrbuted smulaton usng a network of processors. Computer Networks, 3(1): Potter, B. (1991). ArMen : Une machne parallèle ntégrant un réseau de crcuts logques programmables. PhD thess, Unversté de Rennes 1. Potter, B. and Lavener, D. (1989). Hgh rate sgma flterng, feasblty studes on processors networks. In Proceedngs of IFIP Workshop on Parallel Archtectures on Slcon, pages , Grenoble, France. Reynolds, P. (1991). Effcent Framework for Parallel Smulatons. In Proceedngs of the SCS multconference on Advances n Parallel and dstrbuted smulaton, pages , San Dégo, USA. TMC (1991). The Connecton Machne CM-5 Techncal Summary. Techncal report, Thnkng Machne Corporaton, Cambrdge, Massachusetts. XILINX (1990). The Programmable Gate Array Data Book. Xlnx, San Jose, USA. Chandy, K. and Msra, J. (1979). Dstrbuted smulaton : A case study n desgn and verfcaton of dstrbuted programs. IEEE Transactons on Software Engneerng, 5(5):

Parallelism for Nested Loops with Non-uniform and Flow Dependences

Parallelism for Nested Loops with Non-uniform and Flow Dependences Parallelsm for Nested Loops wth Non-unform and Flow Dependences Sam-Jn Jeong Dept. of Informaton & Communcaton Engneerng, Cheonan Unversty, 5, Anseo-dong, Cheonan, Chungnam, 330-80, Korea. seong@cheonan.ac.kr

More information

Virtual Memory. Background. No. 10. Virtual Memory: concept. Logical Memory Space (review) Demand Paging(1) Virtual Memory

Virtual Memory. Background. No. 10. Virtual Memory: concept. Logical Memory Space (review) Demand Paging(1) Virtual Memory Background EECS. Operatng System Fundamentals No. Vrtual Memory Prof. Hu Jang Department of Electrcal Engneerng and Computer Scence, York Unversty Memory-management methods normally requres the entre process

More information

Assignment # 2. Farrukh Jabeen Algorithms 510 Assignment #2 Due Date: June 15, 2009.

Assignment # 2. Farrukh Jabeen Algorithms 510 Assignment #2 Due Date: June 15, 2009. Farrukh Jabeen Algorthms 51 Assgnment #2 Due Date: June 15, 29. Assgnment # 2 Chapter 3 Dscrete Fourer Transforms Implement the FFT for the DFT. Descrbed n sectons 3.1 and 3.2. Delverables: 1. Concse descrpton

More information

Parallel matrix-vector multiplication

Parallel matrix-vector multiplication Appendx A Parallel matrx-vector multplcaton The reduced transton matrx of the three-dmensonal cage model for gel electrophoress, descrbed n secton 3.2, becomes excessvely large for polymer lengths more

More information

Simulation Based Analysis of FAST TCP using OMNET++

Simulation Based Analysis of FAST TCP using OMNET++ Smulaton Based Analyss of FAST TCP usng OMNET++ Umar ul Hassan 04030038@lums.edu.pk Md Term Report CS678 Topcs n Internet Research Sprng, 2006 Introducton Internet traffc s doublng roughly every 3 months

More information

AADL : about scheduling analysis

AADL : about scheduling analysis AADL : about schedulng analyss Schedulng analyss, what s t? Embedded real-tme crtcal systems have temporal constrants to meet (e.g. deadlne). Many systems are bult wth operatng systems provdng multtaskng

More information

Complex Numbers. Now we also saw that if a and b were both positive then ab = a b. For a second let s forget that restriction and do the following.

Complex Numbers. Now we also saw that if a and b were both positive then ab = a b. For a second let s forget that restriction and do the following. Complex Numbers The last topc n ths secton s not really related to most of what we ve done n ths chapter, although t s somewhat related to the radcals secton as we wll see. We also won t need the materal

More information

CMPS 10 Introduction to Computer Science Lecture Notes

CMPS 10 Introduction to Computer Science Lecture Notes CPS 0 Introducton to Computer Scence Lecture Notes Chapter : Algorthm Desgn How should we present algorthms? Natural languages lke Englsh, Spansh, or French whch are rch n nterpretaton and meanng are not

More information

Outline. Digital Systems. C.2: Gates, Truth Tables and Logic Equations. Truth Tables. Logic Gates 9/8/2011

Outline. Digital Systems. C.2: Gates, Truth Tables and Logic Equations. Truth Tables. Logic Gates 9/8/2011 9/8/2 2 Outlne Appendx C: The Bascs of Logc Desgn TDT4255 Computer Desgn Case Study: TDT4255 Communcaton Module Lecture 2 Magnus Jahre 3 4 Dgtal Systems C.2: Gates, Truth Tables and Logc Equatons All sgnals

More information

Compiler Design. Spring Register Allocation. Sample Exercises and Solutions. Prof. Pedro C. Diniz

Compiler Design. Spring Register Allocation. Sample Exercises and Solutions. Prof. Pedro C. Diniz Compler Desgn Sprng 2014 Regster Allocaton Sample Exercses and Solutons Prof. Pedro C. Dnz USC / Informaton Scences Insttute 4676 Admralty Way, Sute 1001 Marna del Rey, Calforna 90292 pedro@s.edu Regster

More information

Mathematics 256 a course in differential equations for engineering students

Mathematics 256 a course in differential equations for engineering students Mathematcs 56 a course n dfferental equatons for engneerng students Chapter 5. More effcent methods of numercal soluton Euler s method s qute neffcent. Because the error s essentally proportonal to the

More information

Load Balancing for Hex-Cell Interconnection Network

Load Balancing for Hex-Cell Interconnection Network Int. J. Communcatons, Network and System Scences,,, - Publshed Onlne Aprl n ScRes. http://www.scrp.org/journal/jcns http://dx.do.org/./jcns.. Load Balancng for Hex-Cell Interconnecton Network Saher Manaseer,

More information

Programming in Fortran 90 : 2017/2018

Programming in Fortran 90 : 2017/2018 Programmng n Fortran 90 : 2017/2018 Programmng n Fortran 90 : 2017/2018 Exercse 1 : Evaluaton of functon dependng on nput Wrte a program who evaluate the functon f (x,y) for any two user specfed values

More information

Concurrent Apriori Data Mining Algorithms

Concurrent Apriori Data Mining Algorithms Concurrent Apror Data Mnng Algorthms Vassl Halatchev Department of Electrcal Engneerng and Computer Scence York Unversty, Toronto October 8, 2015 Outlne Why t s mportant Introducton to Assocaton Rule Mnng

More information

CS 268: Lecture 8 Router Support for Congestion Control

CS 268: Lecture 8 Router Support for Congestion Control CS 268: Lecture 8 Router Support for Congeston Control Ion Stoca Computer Scence Dvson Department of Electrcal Engneerng and Computer Scences Unversty of Calforna, Berkeley Berkeley, CA 9472-1776 Router

More information

An Optimal Algorithm for Prufer Codes *

An Optimal Algorithm for Prufer Codes * J. Software Engneerng & Applcatons, 2009, 2: 111-115 do:10.4236/jsea.2009.22016 Publshed Onlne July 2009 (www.scrp.org/journal/jsea) An Optmal Algorthm for Prufer Codes * Xaodong Wang 1, 2, Le Wang 3,

More information

Real-Time Guarantees. Traffic Characteristics. Flow Control

Real-Time Guarantees. Traffic Characteristics. Flow Control Real-Tme Guarantees Requrements on RT communcaton protocols: delay (response s) small jtter small throughput hgh error detecton at recever (and sender) small error detecton latency no thrashng under peak

More information

Improvement of Spatial Resolution Using BlockMatching Based Motion Estimation and Frame. Integration

Improvement of Spatial Resolution Using BlockMatching Based Motion Estimation and Frame. Integration Improvement of Spatal Resoluton Usng BlockMatchng Based Moton Estmaton and Frame Integraton Danya Suga and Takayuk Hamamoto Graduate School of Engneerng, Tokyo Unversty of Scence, 6-3-1, Nuku, Katsuska-ku,

More information

Conditional Speculative Decimal Addition*

Conditional Speculative Decimal Addition* Condtonal Speculatve Decmal Addton Alvaro Vazquez and Elsardo Antelo Dep. of Electronc and Computer Engneerng Unv. of Santago de Compostela, Span Ths work was supported n part by Xunta de Galca under grant

More information

Content Based Image Retrieval Using 2-D Discrete Wavelet with Texture Feature with Different Classifiers

Content Based Image Retrieval Using 2-D Discrete Wavelet with Texture Feature with Different Classifiers IOSR Journal of Electroncs and Communcaton Engneerng (IOSR-JECE) e-issn: 78-834,p- ISSN: 78-8735.Volume 9, Issue, Ver. IV (Mar - Apr. 04), PP 0-07 Content Based Image Retreval Usng -D Dscrete Wavelet wth

More information

Some material adapted from Mohamed Younis, UMBC CMSC 611 Spr 2003 course slides Some material adapted from Hennessy & Patterson / 2003 Elsevier

Some material adapted from Mohamed Younis, UMBC CMSC 611 Spr 2003 course slides Some material adapted from Hennessy & Patterson / 2003 Elsevier Some materal adapted from Mohamed Youns, UMBC CMSC 611 Spr 2003 course sldes Some materal adapted from Hennessy & Patterson / 2003 Elsever Scence Performance = 1 Executon tme Speedup = Performance (B)

More information

The Greedy Method. Outline and Reading. Change Money Problem. Greedy Algorithms. Applications of the Greedy Strategy. The Greedy Method Technique

The Greedy Method. Outline and Reading. Change Money Problem. Greedy Algorithms. Applications of the Greedy Strategy. The Greedy Method Technique //00 :0 AM Outlne and Readng The Greedy Method The Greedy Method Technque (secton.) Fractonal Knapsack Problem (secton..) Task Schedulng (secton..) Mnmum Spannng Trees (secton.) Change Money Problem Greedy

More information

Assembler. Building a Modern Computer From First Principles.

Assembler. Building a Modern Computer From First Principles. Assembler Buldng a Modern Computer From Frst Prncples www.nand2tetrs.org Elements of Computng Systems, Nsan & Schocken, MIT Press, www.nand2tetrs.org, Chapter 6: Assembler slde Where we are at: Human Thought

More information

A RECONFIGURABLE ARCHITECTURE FOR MULTI-GIGABIT SPEED CONTENT-BASED ROUTING. James Moscola, Young H. Cho, John W. Lockwood

A RECONFIGURABLE ARCHITECTURE FOR MULTI-GIGABIT SPEED CONTENT-BASED ROUTING. James Moscola, Young H. Cho, John W. Lockwood A RECONFIGURABLE ARCHITECTURE FOR MULTI-GIGABIT SPEED CONTENT-BASED ROUTING James Moscola, Young H. Cho, John W. Lockwood Dept. of Computer Scence and Engneerng Washngton Unversty, St. Lous, MO {jmm5,

More information

The Codesign Challenge

The Codesign Challenge ECE 4530 Codesgn Challenge Fall 2007 Hardware/Software Codesgn The Codesgn Challenge Objectves In the codesgn challenge, your task s to accelerate a gven software reference mplementaton as fast as possble.

More information

Brave New World Pseudocode Reference

Brave New World Pseudocode Reference Brave New World Pseudocode Reference Pseudocode s a way to descrbe how to accomplsh tasks usng basc steps lke those a computer mght perform. In ths week s lab, you'll see how a form of pseudocode can be

More information

an assocated logc allows the proof of safety and lveness propertes. The Unty model nvolves on the one hand a programmng language and, on the other han

an assocated logc allows the proof of safety and lveness propertes. The Unty model nvolves on the one hand a programmng language and, on the other han UNITY as a Tool for Desgn and Valdaton of a Data Replcaton System Phlppe Quennec Gerard Padou CENA IRIT-ENSEEIHT y Nnth Internatonal Conference on Systems Engneerng Unversty of Nevada, Las Vegas { 14-16

More information

Chapter 6 Programmng the fnte element method Inow turn to the man subject of ths book: The mplementaton of the fnte element algorthm n computer programs. In order to make my dscusson as straghtforward

More information

An Application of the Dulmage-Mendelsohn Decomposition to Sparse Null Space Bases of Full Row Rank Matrices

An Application of the Dulmage-Mendelsohn Decomposition to Sparse Null Space Bases of Full Row Rank Matrices Internatonal Mathematcal Forum, Vol 7, 2012, no 52, 2549-2554 An Applcaton of the Dulmage-Mendelsohn Decomposton to Sparse Null Space Bases of Full Row Rank Matrces Mostafa Khorramzadeh Department of Mathematcal

More information

Chapter 1. Introduction

Chapter 1. Introduction Chapter 1 Introducton 1.1 Parallel Processng There s a contnual demand for greater computatonal speed from a computer system than s currently possble (.e. sequental systems). Areas need great computatonal

More information

Configuration Management in Multi-Context Reconfigurable Systems for Simultaneous Performance and Power Optimizations*

Configuration Management in Multi-Context Reconfigurable Systems for Simultaneous Performance and Power Optimizations* Confguraton Management n Mult-Context Reconfgurable Systems for Smultaneous Performance and Power Optmzatons* Rafael Maestre, Mlagros Fernandez Departamento de Arqutectura de Computadores y Automátca Unversdad

More information

NUMERICAL SOLVING OPTIMAL CONTROL PROBLEMS BY THE METHOD OF VARIATIONS

NUMERICAL SOLVING OPTIMAL CONTROL PROBLEMS BY THE METHOD OF VARIATIONS ARPN Journal of Engneerng and Appled Scences 006-017 Asan Research Publshng Network (ARPN). All rghts reserved. NUMERICAL SOLVING OPTIMAL CONTROL PROBLEMS BY THE METHOD OF VARIATIONS Igor Grgoryev, Svetlana

More information

Efficient Distributed File System (EDFS)

Efficient Distributed File System (EDFS) Effcent Dstrbuted Fle System (EDFS) (Sem-Centralzed) Debessay(Debsh) Fesehaye, Rahul Malk & Klara Naherstedt Unversty of Illnos-Urbana Champagn Contents Problem Statement, Related Work, EDFS Desgn Rate

More information

VRT012 User s guide V0.1. Address: Žirmūnų g. 27, Vilnius LT-09105, Phone: (370-5) , Fax: (370-5) ,

VRT012 User s guide V0.1. Address: Žirmūnų g. 27, Vilnius LT-09105, Phone: (370-5) , Fax: (370-5) , VRT012 User s gude V0.1 Thank you for purchasng our product. We hope ths user-frendly devce wll be helpful n realsng your deas and brngng comfort to your lfe. Please take few mnutes to read ths manual

More information

Support Vector Machines

Support Vector Machines /9/207 MIST.6060 Busness Intellgence and Data Mnng What are Support Vector Machnes? Support Vector Machnes Support Vector Machnes (SVMs) are supervsed learnng technques that analyze data and recognze patterns.

More information

Wishing you all a Total Quality New Year!

Wishing you all a Total Quality New Year! Total Qualty Management and Sx Sgma Post Graduate Program 214-15 Sesson 4 Vnay Kumar Kalakband Assstant Professor Operatons & Systems Area 1 Wshng you all a Total Qualty New Year! Hope you acheve Sx sgma

More information

Petri Net Based Software Dependability Engineering

Petri Net Based Software Dependability Engineering Proc. RELECTRONIC 95, Budapest, pp. 181-186; October 1995 Petr Net Based Software Dependablty Engneerng Monka Hener Brandenburg Unversty of Technology Cottbus Computer Scence Insttute Postbox 101344 D-03013

More information

Array transposition in CUDA shared memory

Array transposition in CUDA shared memory Array transposton n CUDA shared memory Mke Gles February 19, 2014 Abstract Ths short note s nspred by some code wrtten by Jeremy Appleyard for the transposton of data through shared memory. I had some

More information

Using Delayed Addition Techniques to Accelerate Integer and Floating-Point Calculations in Configurable Hardware

Using Delayed Addition Techniques to Accelerate Integer and Floating-Point Calculations in Configurable Hardware Draft submtted for publcaton. Please do not dstrbute Usng Delayed Addton echnques to Accelerate Integer and Floatng-Pont Calculatons n Confgurable Hardware Zhen Luo, Nonmember and Margaret Martonos, Member,

More information

THE low-density parity-check (LDPC) code is getting

THE low-density parity-check (LDPC) code is getting Implementng the NASA Deep Space LDPC Codes for Defense Applcatons Wley H. Zhao, Jeffrey P. Long 1 Abstract Selected codes from, and extended from, the NASA s deep space low-densty party-check (LDPC) codes

More information

R s s f. m y s. SPH3UW Unit 7.3 Spherical Concave Mirrors Page 1 of 12. Notes

R s s f. m y s. SPH3UW Unit 7.3 Spherical Concave Mirrors Page 1 of 12. Notes SPH3UW Unt 7.3 Sphercal Concave Mrrors Page 1 of 1 Notes Physcs Tool box Concave Mrror If the reflectng surface takes place on the nner surface of the sphercal shape so that the centre of the mrror bulges

More information

Evaluation of an Enhanced Scheme for High-level Nested Network Mobility

Evaluation of an Enhanced Scheme for High-level Nested Network Mobility IJCSNS Internatonal Journal of Computer Scence and Network Securty, VOL.15 No.10, October 2015 1 Evaluaton of an Enhanced Scheme for Hgh-level Nested Network Moblty Mohammed Babker Al Mohammed, Asha Hassan.

More information

A Binarization Algorithm specialized on Document Images and Photos

A Binarization Algorithm specialized on Document Images and Photos A Bnarzaton Algorthm specalzed on Document mages and Photos Ergna Kavalleratou Dept. of nformaton and Communcaton Systems Engneerng Unversty of the Aegean kavalleratou@aegean.gr Abstract n ths paper, a

More information

GSLM Operations Research II Fall 13/14

GSLM Operations Research II Fall 13/14 GSLM 58 Operatons Research II Fall /4 6. Separable Programmng Consder a general NLP mn f(x) s.t. g j (x) b j j =. m. Defnton 6.. The NLP s a separable program f ts objectve functon and all constrants are

More information

Internet Traffic Managers

Internet Traffic Managers Internet Traffc Managers Ibrahm Matta matta@cs.bu.edu www.cs.bu.edu/faculty/matta Computer Scence Department Boston Unversty Boston, MA 225 Jont work wth members of the WING group: Azer Bestavros, John

More information

Smoothing Spline ANOVA for variable screening

Smoothing Spline ANOVA for variable screening Smoothng Splne ANOVA for varable screenng a useful tool for metamodels tranng and mult-objectve optmzaton L. Rcco, E. Rgon, A. Turco Outlne RSM Introducton Possble couplng Test case MOO MOO wth Game Theory

More information

A Fast Visual Tracking Algorithm Based on Circle Pixels Matching

A Fast Visual Tracking Algorithm Based on Circle Pixels Matching A Fast Vsual Trackng Algorthm Based on Crcle Pxels Matchng Zhqang Hou hou_zhq@sohu.com Chongzhao Han czhan@mal.xjtu.edu.cn Ln Zheng Abstract: A fast vsual trackng algorthm based on crcle pxels matchng

More information

A mathematical programming approach to the analysis, design and scheduling of offshore oilfields

A mathematical programming approach to the analysis, design and scheduling of offshore oilfields 17 th European Symposum on Computer Aded Process Engneerng ESCAPE17 V. Plesu and P.S. Agach (Edtors) 2007 Elsever B.V. All rghts reserved. 1 A mathematcal programmng approach to the analyss, desgn and

More information

FPGA-based implementation of circular interpolation

FPGA-based implementation of circular interpolation Avalable onlne www.jocpr.com Journal of Chemcal and Pharmaceutcal Research, 04, 6(7):585-593 Research Artcle ISSN : 0975-7384 CODEN(USA) : JCPRC5 FPGA-based mplementaton of crcular nterpolaton Mngyu Gao,

More information

High level vs Low Level. What is a Computer Program? What does gcc do for you? Program = Instructions + Data. Basic Computer Organization

High level vs Low Level. What is a Computer Program? What does gcc do for you? Program = Instructions + Data. Basic Computer Organization What s a Computer Program? Descrpton of algorthms and data structures to acheve a specfc ojectve Could e done n any language, even a natural language lke Englsh Programmng language: A Standard notaton

More information

Loop Pipelining for High-Throughput Stream Computation Using Self-Timed Rings

Loop Pipelining for High-Throughput Stream Computation Using Self-Timed Rings Loop Ppelnng for Hgh-Throughput Stream Computaton Usng Self-Tmed Rngs Gennette Gll, John Hansen and Montek Sngh Dept. of Computer Scence Unv. of North Carolna, Chapel Hll, NC 27599, USA {gllg,jbhansen,montek}@cs.unc.edu

More information

Lecture 5: Multilayer Perceptrons

Lecture 5: Multilayer Perceptrons Lecture 5: Multlayer Perceptrons Roger Grosse 1 Introducton So far, we ve only talked about lnear models: lnear regresson and lnear bnary classfers. We noted that there are functons that can t be represented

More information

Motivation. EE 457 Unit 4. Throughput vs. Latency. Performance Depends on View Point?! Computer System Performance. An individual user wants to:

Motivation. EE 457 Unit 4. Throughput vs. Latency. Performance Depends on View Point?! Computer System Performance. An individual user wants to: 4.1 4.2 Motvaton EE 457 Unt 4 Computer System Performance An ndvdual user wants to: Mnmze sngle program executon tme A datacenter owner wants to: Maxmze number of Mnmze ( ) http://e-tellgentnternetmarketng.com/webste/frustrated-computer-user-2/

More information

Convolutional interleaver for unequal error protection of turbo codes

Convolutional interleaver for unequal error protection of turbo codes Convolutonal nterleaver for unequal error protecton of turbo codes Sna Vaf, Tadeusz Wysock, Ian Burnett Unversty of Wollongong, SW 2522, Australa E-mal:{sv39,wysock,an_burnett}@uow.edu.au Abstract: Ths

More information

Verification by testing

Verification by testing Real-Tme Systems Specfcaton Implementaton System models Executon-tme analyss Verfcaton Verfcaton by testng Dad? How do they know how much weght a brdge can handle? They drve bgger and bgger trucks over

More information

Solving two-person zero-sum game by Matlab

Solving two-person zero-sum game by Matlab Appled Mechancs and Materals Onlne: 2011-02-02 ISSN: 1662-7482, Vols. 50-51, pp 262-265 do:10.4028/www.scentfc.net/amm.50-51.262 2011 Trans Tech Publcatons, Swtzerland Solvng two-person zero-sum game by

More information

SLAM Summer School 2006 Practical 2: SLAM using Monocular Vision

SLAM Summer School 2006 Practical 2: SLAM using Monocular Vision SLAM Summer School 2006 Practcal 2: SLAM usng Monocular Vson Javer Cvera, Unversty of Zaragoza Andrew J. Davson, Imperal College London J.M.M Montel, Unversty of Zaragoza. josemar@unzar.es, jcvera@unzar.es,

More information

Multigranular Simulation of Heterogeneous Embedded Systems

Multigranular Simulation of Heterogeneous Embedded Systems Multgranular Smulaton of Heterogeneous Embedded Systems Adtya Agrawal Insttute for Software Integrated Systems Vanderblt Unversty Nashvlle, TN - 37235 1 615 343 7567 adtya.agrawal@vanderblt.edu Akos Ledecz

More information

124 Chapter 8. Case Study: A Memory Component ndcatng some error condton. An exceptonal return of a value e s called rasng excepton e. A return s ssue

124 Chapter 8. Case Study: A Memory Component ndcatng some error condton. An exceptonal return of a value e s called rasng excepton e. A return s ssue Chapter 8 Case Study: A Memory Component In chapter 6 we gave the outlne of a case study on the renement of a safe regster. In ths chapter wepresent the outne of another case study on persstent communcaton;

More information

Course Introduction. Algorithm 8/31/2017. COSC 320 Advanced Data Structures and Algorithms. COSC 320 Advanced Data Structures and Algorithms

Course Introduction. Algorithm 8/31/2017. COSC 320 Advanced Data Structures and Algorithms. COSC 320 Advanced Data Structures and Algorithms Course Introducton Course Topcs Exams, abs, Proects A quc loo at a few algorthms 1 Advanced Data Structures and Algorthms Descrpton: We are gong to dscuss algorthm complexty analyss, algorthm desgn technques

More information

Real-time Scheduling

Real-time Scheduling Real-tme Schedulng COE718: Embedded System Desgn http://www.ee.ryerson.ca/~courses/coe718/ Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrcal and Computer Engneerng Ryerson Unversty Overvew RTX

More information

Solution Brief: Creating a Secure Base in a Virtual World

Solution Brief: Creating a Secure Base in a Virtual World Soluton Bref: Creatng a Secure Base n a Vrtual World Soluton Bref: Creatng a Secure Base n a Vrtual World Abstract The adopton rate of Vrtual Machnes has exploded at most organzatons, drven by the mproved

More information

RADIX-10 PARALLEL DECIMAL MULTIPLIER

RADIX-10 PARALLEL DECIMAL MULTIPLIER RADIX-10 PARALLEL DECIMAL MULTIPLIER 1 MRUNALINI E. INGLE & 2 TEJASWINI PANSE 1&2 Electroncs Engneerng, Yeshwantrao Chavan College of Engneerng, Nagpur, Inda E-mal : mrunalngle@gmal.com, tejaswn.deshmukh@gmal.com

More information

For instance, ; the five basic number-sets are increasingly more n A B & B A A = B (1)

For instance, ; the five basic number-sets are increasingly more n A B & B A A = B (1) Secton 1.2 Subsets and the Boolean operatons on sets If every element of the set A s an element of the set B, we say that A s a subset of B, or that A s contaned n B, or that B contans A, and we wrte A

More information

Intro. Iterators. 1. Access

Intro. Iterators. 1. Access Intro Ths mornng I d lke to talk a lttle bt about s and s. We wll start out wth smlartes and dfferences, then we wll see how to draw them n envronment dagrams, and we wll fnsh wth some examples. Happy

More information

Cluster Analysis of Electrical Behavior

Cluster Analysis of Electrical Behavior Journal of Computer and Communcatons, 205, 3, 88-93 Publshed Onlne May 205 n ScRes. http://www.scrp.org/ournal/cc http://dx.do.org/0.4236/cc.205.350 Cluster Analyss of Electrcal Behavor Ln Lu Ln Lu, School

More information

Skew Angle Estimation and Correction of Hand Written, Textual and Large areas of Non-Textual Document Images: A Novel Approach

Skew Angle Estimation and Correction of Hand Written, Textual and Large areas of Non-Textual Document Images: A Novel Approach Angle Estmaton and Correcton of Hand Wrtten, Textual and Large areas of Non-Textual Document Images: A Novel Approach D.R.Ramesh Babu Pyush M Kumat Mahesh D Dhannawat PES Insttute of Technology Research

More information

Load-Balanced Anycast Routing

Load-Balanced Anycast Routing Load-Balanced Anycast Routng Chng-Yu Ln, Jung-Hua Lo, and Sy-Yen Kuo Department of Electrcal Engneerng atonal Tawan Unversty, Tape, Tawan sykuo@cc.ee.ntu.edu.tw Abstract For fault-tolerance and load-balance

More information

Avoiding congestion through dynamic load control

Avoiding congestion through dynamic load control Avodng congeston through dynamc load control Vasl Hnatyshn, Adarshpal S. Seth Department of Computer and Informaton Scences, Unversty of Delaware, Newark, DE 976 ABSTRACT The current best effort approach

More information

Performance Evaluation of an ANFIS Based Power System Stabilizer Applied in Multi-Machine Power Systems

Performance Evaluation of an ANFIS Based Power System Stabilizer Applied in Multi-Machine Power Systems Performance Evaluaton of an ANFIS Based Power System Stablzer Appled n Mult-Machne Power Systems A. A GHARAVEISI 1,2 A.DARABI 3 M. MONADI 4 A. KHAJEH-ZADEH 5 M. RASHIDI-NEJAD 1,2,5 1. Shahd Bahonar Unversty

More information

A New Transaction Processing Model Based on Optimistic Concurrency Control

A New Transaction Processing Model Based on Optimistic Concurrency Control A New Transacton Processng Model Based on Optmstc Concurrency Control Wang Pedong,Duan Xpng,Jr. Abstract-- In ths paper, to support moblty and dsconnecton of moble clents effectvely n moble computng envronment,

More information

Improved Resource Allocation Algorithms for Practical Image Encoding in a Ubiquitous Computing Environment

Improved Resource Allocation Algorithms for Practical Image Encoding in a Ubiquitous Computing Environment JOURNAL OF COMPUTERS, VOL. 4, NO. 9, SEPTEMBER 2009 873 Improved Resource Allocaton Algorthms for Practcal Image Encodng n a Ubqutous Computng Envronment Manxong Dong, Long Zheng, Kaoru Ota, Song Guo School

More information

Problem Definitions and Evaluation Criteria for Computational Expensive Optimization

Problem Definitions and Evaluation Criteria for Computational Expensive Optimization Problem efntons and Evaluaton Crtera for Computatonal Expensve Optmzaton B. Lu 1, Q. Chen and Q. Zhang 3, J. J. Lang 4, P. N. Suganthan, B. Y. Qu 6 1 epartment of Computng, Glyndwr Unversty, UK Faclty

More information

Random Kernel Perceptron on ATTiny2313 Microcontroller

Random Kernel Perceptron on ATTiny2313 Microcontroller Random Kernel Perceptron on ATTny233 Mcrocontroller Nemanja Djurc Department of Computer and Informaton Scences, Temple Unversty Phladelpha, PA 922, USA nemanja.djurc@temple.edu Slobodan Vucetc Department

More information

CHARUTAR VIDYA MANDAL S SEMCOM Vallabh Vidyanagar

CHARUTAR VIDYA MANDAL S SEMCOM Vallabh Vidyanagar CHARUTAR VIDYA MANDAL S SEMCOM Vallabh Vdyanagar Faculty Name: Am D. Trved Class: SYBCA Subject: US03CBCA03 (Advanced Data & Fle Structure) *UNIT 1 (ARRAYS AND TREES) **INTRODUCTION TO ARRAYS If we want

More information

Storage Binding in RTL synthesis

Storage Binding in RTL synthesis Storage Bndng n RTL synthess Pe Zhang Danel D. Gajsk Techncal Report ICS-0-37 August 0th, 200 Center for Embedded Computer Systems Department of Informaton and Computer Scence Unersty of Calforna, Irne

More information

A Genetic Algorithm Based Dynamic Load Balancing Scheme for Heterogeneous Distributed Systems

A Genetic Algorithm Based Dynamic Load Balancing Scheme for Heterogeneous Distributed Systems Proceedngs of the Internatonal Conference on Parallel and Dstrbuted Processng Technques and Applcatons, PDPTA 2008, Las Vegas, Nevada, USA, July 14-17, 2008, 2 Volumes. CSREA Press 2008, ISBN 1-60132-084-1

More information

The stream cipher MICKEY-128 (version 1) Algorithm specification issue 1.0

The stream cipher MICKEY-128 (version 1) Algorithm specification issue 1.0 The stream cpher MICKEY-128 (verson 1 Algorthm specfcaton ssue 1. Steve Babbage Vodafone Group R&D, Newbury, UK steve.babbage@vodafone.com Matthew Dodd Independent consultant matthew@mdodd.net www.mdodd.net

More information

Polyhedral Compilation Foundations

Polyhedral Compilation Foundations Polyhedral Complaton Foundatons Lous-Noël Pouchet pouchet@cse.oho-state.edu Dept. of Computer Scence and Engneerng, the Oho State Unversty Feb 8, 200 888., Class # Introducton: Polyhedral Complaton Foundatons

More information

Module Management Tool in Software Development Organizations

Module Management Tool in Software Development Organizations Journal of Computer Scence (5): 8-, 7 ISSN 59-66 7 Scence Publcatons Management Tool n Software Development Organzatons Ahmad A. Al-Rababah and Mohammad A. Al-Rababah Faculty of IT, Al-Ahlyyah Amman Unversty,

More information

A SCALABLE DIGITAL ARCHITECTURE OF A KOHONEN NEURAL NETWORK

A SCALABLE DIGITAL ARCHITECTURE OF A KOHONEN NEURAL NETWORK A SCALABLE DIGITAL ARCHITECTURE OF A KOHONEN NEURAL NETWORK Andrés E. Valenca, Jorge A. Peña and Maurco Vanegas. Unversdad Pontfca Bolvarana, Medellín, Colomba andrez_valenca@yahoo.com, jorge.pena@alar.ch,

More information

Computational ghost imaging using a fieldprogrammable

Computational ghost imaging using a fieldprogrammable Computatonal ghost magng usng a feldprogrammable gate array IKUO HOSHI, * TOMOYOSHI SHIMOBABA, TAKASHI KAKUE, AND TOMOYOSHI ITO 1 Graduate School of Engneerng, Chba Unversty, 1-33, Yayo-cho, Inage-ku,

More information

High-Boost Mesh Filtering for 3-D Shape Enhancement

High-Boost Mesh Filtering for 3-D Shape Enhancement Hgh-Boost Mesh Flterng for 3-D Shape Enhancement Hrokazu Yagou Λ Alexander Belyaev y Damng We z Λ y z ; ; Shape Modelng Laboratory, Unversty of Azu, Azu-Wakamatsu 965-8580 Japan y Computer Graphcs Group,

More information

Performance Analysis of a Reconfigurable Shared Memory Multiprocessor System for Embedded Applications

Performance Analysis of a Reconfigurable Shared Memory Multiprocessor System for Embedded Applications J. ICT Res. Appl., Vol. 7, No. 1, 213, 15-35 15 Performance Analyss of a Reconfgurable Shared Memory Multprocessor System for Embedded Applcatons Darcy Cook 1 & Ken Ferens 2 1 JCA Electroncs, 118 Kng Edward

More information

Improving High Level Synthesis Optimization Opportunity Through Polyhedral Transformations

Improving High Level Synthesis Optimization Opportunity Through Polyhedral Transformations Improvng Hgh Level Synthess Optmzaton Opportunty Through Polyhedral Transformatons We Zuo 2,5, Yun Lang 1, Peng L 1, Kyle Rupnow 3, Demng Chen 2,3 and Jason Cong 1,4 1 Center for Energy-Effcent Computng

More information

Evaluation of Parallel Processing Systems through Queuing Model

Evaluation of Parallel Processing Systems through Queuing Model ISSN 2278-309 Vkas Shnde, Internatonal Journal of Advanced Volume Trends 4, n Computer No.2, March Scence - and Aprl Engneerng, 205 4(2), March - Aprl 205, 36-43 Internatonal Journal of Advanced Trends

More information

Mallathahally, Bangalore, India 1 2

Mallathahally, Bangalore, India 1 2 7 IMPLEMENTATION OF HIGH PERFORMANCE BINARY SQUARER PRADEEP M C, RAMESH S, Department of Electroncs and Communcaton Engneerng, Dr. Ambedkar Insttute of Technology, Mallathahally, Bangalore, Inda pradeepmc@gmal.com,

More information

Virtual Machine Migration based on Trust Measurement of Computer Node

Virtual Machine Migration based on Trust Measurement of Computer Node Appled Mechancs and Materals Onlne: 2014-04-04 ISSN: 1662-7482, Vols. 536-537, pp 678-682 do:10.4028/www.scentfc.net/amm.536-537.678 2014 Trans Tech Publcatons, Swtzerland Vrtual Machne Mgraton based on

More information

Overview. Basic Setup [9] Motivation and Tasks. Modularization 2008/2/20 IMPROVED COVERAGE CONTROL USING ONLY LOCAL INFORMATION

Overview. Basic Setup [9] Motivation and Tasks. Modularization 2008/2/20 IMPROVED COVERAGE CONTROL USING ONLY LOCAL INFORMATION Overvew 2 IMPROVED COVERAGE CONTROL USING ONLY LOCAL INFORMATION Introducton Mult- Smulator MASIM Theoretcal Work and Smulaton Results Concluson Jay Wagenpfel, Adran Trachte Motvaton and Tasks Basc Setup

More information

(1) The control processes are too complex to analyze by conventional quantitative techniques.

(1) The control processes are too complex to analyze by conventional quantitative techniques. Chapter 0 Fuzzy Control and Fuzzy Expert Systems The fuzzy logc controller (FLC) s ntroduced n ths chapter. After ntroducng the archtecture of the FLC, we study ts components step by step and suggest a

More information

Hybrid Non-Blind Color Image Watermarking

Hybrid Non-Blind Color Image Watermarking Hybrd Non-Blnd Color Image Watermarkng Ms C.N.Sujatha 1, Dr. P. Satyanarayana 2 1 Assocate Professor, Dept. of ECE, SNIST, Yamnampet, Ghatkesar Hyderabad-501301, Telangana 2 Professor, Dept. of ECE, AITS,

More information

A MOVING MESH APPROACH FOR SIMULATION BUDGET ALLOCATION ON CONTINUOUS DOMAINS

A MOVING MESH APPROACH FOR SIMULATION BUDGET ALLOCATION ON CONTINUOUS DOMAINS Proceedngs of the Wnter Smulaton Conference M E Kuhl, N M Steger, F B Armstrong, and J A Jones, eds A MOVING MESH APPROACH FOR SIMULATION BUDGET ALLOCATION ON CONTINUOUS DOMAINS Mark W Brantley Chun-Hung

More information

Agenda & Reading. Simple If. Decision-Making Statements. COMPSCI 280 S1C Applications Programming. Programming Fundamentals

Agenda & Reading. Simple If. Decision-Making Statements. COMPSCI 280 S1C Applications Programming. Programming Fundamentals Agenda & Readng COMPSCI 8 SC Applcatons Programmng Programmng Fundamentals Control Flow Agenda: Decsonmakng statements: Smple If, Ifelse, nested felse, Select Case s Whle, DoWhle/Untl, For, For Each, Nested

More information

Real-Time Systems. Real-Time Systems. Verification by testing. Verification by testing

Real-Time Systems. Real-Time Systems. Verification by testing. Verification by testing EDA222/DIT161 Real-Tme Systems, Chalmers/GU, 2014/2015 Lecture #8 Real-Tme Systems Real-Tme Systems Lecture #8 Specfcaton Professor Jan Jonsson Implementaton System models Executon-tme analyss Department

More information

ARTICLE IN PRESS. Signal Processing: Image Communication

ARTICLE IN PRESS. Signal Processing: Image Communication Sgnal Processng: Image Communcaton 23 (2008) 754 768 Contents lsts avalable at ScenceDrect Sgnal Processng: Image Communcaton journal homepage: www.elsever.com/locate/mage Dstrbuted meda rate allocaton

More information

X- Chart Using ANOM Approach

X- Chart Using ANOM Approach ISSN 1684-8403 Journal of Statstcs Volume 17, 010, pp. 3-3 Abstract X- Chart Usng ANOM Approach Gullapall Chakravarth 1 and Chaluvad Venkateswara Rao Control lmts for ndvdual measurements (X) chart are

More information

Distributed Resource Scheduling in Grid Computing Using Fuzzy Approach

Distributed Resource Scheduling in Grid Computing Using Fuzzy Approach Dstrbuted Resource Schedulng n Grd Computng Usng Fuzzy Approach Shahram Amn, Mohammad Ahmad Computer Engneerng Department Islamc Azad Unversty branch Mahallat, Iran Islamc Azad Unversty branch khomen,

More information

Lobachevsky State University of Nizhni Novgorod. Polyhedron. Quick Start Guide

Lobachevsky State University of Nizhni Novgorod. Polyhedron. Quick Start Guide Lobachevsky State Unversty of Nzhn Novgorod Polyhedron Quck Start Gude Nzhn Novgorod 2016 Contents Specfcaton of Polyhedron software... 3 Theoretcal background... 4 1. Interface of Polyhedron... 6 1.1.

More information

Maintaining temporal validity of real-time data on non-continuously executing resources

Maintaining temporal validity of real-time data on non-continuously executing resources Mantanng temporal valdty of real-tme data on non-contnuously executng resources Tan Ba, Hong Lu and Juan Yang Hunan Insttute of Scence and Technology, College of Computer Scence, 44, Yueyang, Chna Wuhan

More information

Fine grain parallelism on a MIMD machine using FPGAs

Fine grain parallelism on a MIMD machine using FPGAs INSTITUT NATIONAL DE RECHERCHE EN INFORMATIQUE ET EN AUTOMATIQUE Fne gran parallelsm on a MIMD machne usng FPGAs Frédérc Rambault, Domnque Lavener, Stéphane Rubn, Bernard Potter N 1983 Ma 1993 PROGRAMME

More information