Outline. Digital Systems. C.2: Gates, Truth Tables and Logic Equations. Truth Tables. Logic Gates 9/8/2011

Size: px
Start display at page:

Download "Outline. Digital Systems. C.2: Gates, Truth Tables and Logic Equations. Truth Tables. Logic Gates 9/8/2011"

Transcription

1 9/8/2 2 Outlne Appendx C: The Bascs of Logc Desgn TDT4255 Computer Desgn Case Study: TDT4255 Communcaton Module Lecture 2 Magnus Jahre 3 4 Dgtal Systems C.2: Gates, Truth Tables and Logc Equatons All sgnals are ether logcally or Asserted () or deasserted () Implemented wth voltage on (V DD ) or ground Combnatoral crcuts Output only depends on current nputs Sequental crcuts Output depends on current nputs and state 5 6 Truth Tables Logc Gates A truth table can be used to descrbe any combnatoral crcut A B A + B Grow quckly n sze Most useful for small crcuts Alternatve: Boolean Logc Basc buldng block of dgtal systems Constructed from transstors Transstors are analog, gates are dgtal (abstracton!) Rngs on nputs or outputs descrbe negaton Two ways of mplementng ~(~A+B)

2 9/8/2 7 8 Combnatoral logc C.3: Combnatoral Logc Combnatoral logc only depends on current nputs We don t need a clock! There mght be nputs that are rrelevant to our crcut Don t cares Room for optmzaton 9 Combnatoral VHDL Example Combnatoral Schematc lbrary IEEE; use IEEE.STD_LOGIC_64.ALL; entty toplevel s Port ( data_n_ : n STD_LOGIC_VECTOR (3 downto ); data_n_2 : n STD_LOGIC_VECTOR (3 downto ); data_out : out STD_LOGIC_VECTOR (3 downto )); end toplevel; archtecture Behavoral of toplevel s begn combnatoral: process(data_n_, data_n_2) begn data_out <= data_n_ and data_n_2; end process combnatoral; end Behavoral; 2 Multplexor Combnatoral crcut that selects one output from many nputs A decoder s a reverse multplexor mux: process(data_n_, data_n_2, nput_select) begn f nput_select = '' then data_out <= data_n_; data_out <= data_n_2; end f; end process mux; Other Combnatoral Crcuts Read Only Memores (ROMs) Fxed content memory Can be used to mplement logc: Use nput pattern as address and store logc output Lookup Tables (LUTs) Can mplement any logc functon Stores output n memory locatons correspondng to nput address Key buldng block for reconfgurable chps (e.g. FPGAs) 2

3 9/8/2 3 4 Bt Arthmetc Logc Unt (ALU) C.5: Constructng a Basc ALU The ALU s the unt that does the work n all processors Supports many operatons Add, subtract, and, or, etc. Idea: add hardware for all operatons and use a multplexor to select the result Optmzatons possble (e.g. subtracton s 2 s complement addton) 5 6 Computng Carry Correct addton depends on correct propagaton of carry CarryOut = (b * CarryIn) + (a * CarryIn) + (a * b) 32 Bt ALU Explot the bt ALU abstracton to create a wde ALU Called a rpple carry adder Rpple carry adders are slow Carry propagaton through the crcut s the crtcal path 7 8 Carry Lookahead C.6: Faster Addton: Carry Lookahead Idea: We can use more logc to shorten the crtcal path of a rpple carry adder Each carry bt uses all prevous carres and nputs We can compute each carry drectly by applyng the formulas recursvely But: Logc overhead grows quckly Two bt carry lookahead example: c b c 2 2 c b c c b [ b c ] a [ b c ] 3

4 9/8/2 9 2 Explotng Overlap General lookahead equaton: c c b c ( b a ) c Explotng Abstracton Use a 4-bt carry lookahead adder as buldng block for larger adders Each carry computaton recomputes a + b and a b Idea: Rename these and compute once: g p b a g s known as generate and p as propagate g decdes f carry should be generated for level p decdes f prevous carres should be propagated for level We need to generate propagate and generate sgnals for each block Reapply the same prncples as for the -level desgn The resultng sgnals are referred to as super-propagate P and super-generate G 6 bt adder latency estmaton Two-level carry lookahead: Worst case latency 5 gate delays Rpple carry: Worst case latency 32 gate delays 2 Two-Level Carry Lookahead 4 bt carry lookahead unt as basc buldng block 22 C.7: Clocks Carry-lookahead unt takes care of generatng ntermodule carry sgnals Clocks Clocks are used n sequental systems C.8: Flp-flops, Latches and Regsters Clockng methodologes Edge trggered: State elements are updated on clock transtons Level trggered: State elements are updated contnuously whle the clock s ether or Choose one or the other Dfferent methodologes may be approprate for dfferent producton technologes 4

5 9/8/ Latch A latch s the basc memory element The output s locked due to the cross-couplng Level trggered latch: process(clk, nput_select) begn f clk = '' then output_select <= nput_select; end f; end process latch; Flp-Flop Edged trggered extenson of the latch Fgure trggers on fallng edge, VHDL code on rsng edge flpflop: process(clk) begn f rsng_edge(clk) then output_select <= nput_select; end f; end process flpflop; Regster Regster Fle Example Collecton of flpflops or latches that store mult-bt values Regster fles contan multple regsters and access logc reg: process(clk) begn f rsng_edge(clk) then data_out <= data_n_; end f; end process reg; VHDL code s dentcal to latch/flp-flop except that the sgnals are vectors and not scalars 2 Port Read logc Port Wrte logc 29 3 Regster Fle Abstracton C.9: SRAMs and DRAMs 5

6 9/8/ Statc RAM Bult from transstors n a logc process Retans charge over tme (statc) Uses more area than Dynamc RAM Dynamc RAM Separate producton process to acheve hgh densty Ths s why the DRAM almost always s on a separate chp Looses charge over tme (dynamc) Needs refresh Sngle DRAM cell Fnte State Machnes C.: Fnte State Machnes Commonly synchronous Changes state on clock tck Two types Moore: Next state only depends on current state Mealy: Next state depends on current state and nputs Moore or Mealy? Almost all electronc systems contan a number of state machnes Traffc Lght Controller FSM Block Dagram State machne for traffc lghts n a 4-way juncton Sgnals: Output sgnals: NSgreen: North-South green lght Wegreen: West-East green lght Input: NScar: North-South car watng EWcar: East-West car watng What happens f there are cars n both drectons? Logc gates Flp-flops or latches Abstracton! 6

7 9/8/ Edge Trggered Methodology C.: Tmng Methodologes Need to account for clock skew The clock mght arrve at dfferent flp flops at slghtly dfferent tmes Lmts the maxmum clock frequency Desgners try to lmt clock skew wth clever clock dstrbuton strateges Advantage: Easer to acheve correct operaton than wth level trggered Drawback: Edge detecton requres extra logc 39 4 Level Senstve Methodology Metastablty Less area and possbly less delay than Edge Trggered But: prone to race condtons Soluton: Two phase clockng 4 42 Synchronzers Idea: reduce the probablty of a metastable output by addng an extra flp-flop Gves the nput sgnal tme to stablze before we allow t nto our system If the clock cycle s long compared to the metastable perod (common), the probablty of falure wll be low but never C.2: Feld Programmable Devces 7

8 9/8/ Feld Programmable Devces Programmable Logc Devces (PLDs) Only combnatoral logc Feld Programmable Gate Arrays (FPGAs) Combnatoral and sequental Key buldng block: LUTs Many specal purpose resources: ALUs, Block RAM, etc. Case Study: TDT4255 Exercse Communcaton Module Exercse System Archtecture Xlnx FPGA-based embedded system McroBlaze softcore Perpheral bus Custom perpheral UART over USB communcaton wth host computer Host-to-perpheral communcaton provded Communcaton Features Wrte arbtrary data memory address Read arbtrary nstructon memory address Wrte arbtrary nstructon memory address Interface Specfcaton Perpheral Block Dagram 8

9 9/8/ Wrte Enable MUX DMEM MUX IMEM_WRITE_ENABLE_MUX : process(com_wrte_mem, com_wrte_en) begn f com_wrte_mem = '' then mem_com_wrte_en <= com_wrte_en; dmem_wrte_en_mux_com <= com_wrte_en; end f; end process; DMEM_MUX : process( ) begn f processor_enable = '' then dmem_read_addr_mux_out <= dmem_read_addr_mux_proc; dmem_wrte_addr_mux_out <= dmem_wrte_addr_mux_proc; dmem_ wrte_ data_ mux_ out <= dmem_ wrte_ data_ mux_proc; dmem_wrte_en_mux_out <= dmem_wrte_en_mux_proc; dmem_read_addr_mux_out <= dmem_read_addr_mux_com; dmem_wrte_addr_mux_out <= dmem_wrte_addr_mux_com; dmem_wrte_data_mux_out <= dmem_wrte_data_mux_com; dmem_wrte_en_mux_out <= dmem_wrte_en_mux_com; end f; end process; Note: senstvty lst removed to make the code ft the slde 5 Communcaton State Machne 52 Communcaton Implementaton STATE_MACHINE : process(clk, reset) constant STATE_IDLE : std_logc_vector(2 downto ) := ""; -- more constants begn f rsng_edge(clk) then f reset = '' then -- reset all sgnals case state s -- dle when STATE_IDLE => -- set sgnals when STATE_WI => -- set sgnals --more states here end case; end f; end f; end process; 53 Run State Sgnals -- processor runnng when STATE_RUN => status <= STATUS_RUN; bus_data_out <= (others => ''); read_addr <= (others => ''); wrte_addr <= (others => ''); wrte_data <= (others => ''); wrte_enable <= ''; processor_enable <= ''; wrte_mem <= ''; nternal_data_out <= (others => ''); f command = CMD_RUN then state <= STATE_RUN; state <= STATE_IDLE; end f; 9

Conditional Speculative Decimal Addition*

Conditional Speculative Decimal Addition* Condtonal Speculatve Decmal Addton Alvaro Vazquez and Elsardo Antelo Dep. of Electronc and Computer Engneerng Unv. of Santago de Compostela, Span Ths work was supported n part by Xunta de Galca under grant

More information

Assembler. Building a Modern Computer From First Principles.

Assembler. Building a Modern Computer From First Principles. Assembler Buldng a Modern Computer From Frst Prncples www.nand2tetrs.org Elements of Computng Systems, Nsan & Schocken, MIT Press, www.nand2tetrs.org, Chapter 6: Assembler slde Where we are at: Human Thought

More information

Parallel matrix-vector multiplication

Parallel matrix-vector multiplication Appendx A Parallel matrx-vector multplcaton The reduced transton matrx of the three-dmensonal cage model for gel electrophoress, descrbed n secton 3.2, becomes excessvely large for polymer lengths more

More information

CPE 628 Chapter 2 Design for Testability. Dr. Rhonda Kay Gaede UAH. UAH Chapter Introduction

CPE 628 Chapter 2 Design for Testability. Dr. Rhonda Kay Gaede UAH. UAH Chapter Introduction Chapter 2 Desgn for Testablty Dr Rhonda Kay Gaede UAH 2 Introducton Dffcultes n and the states of sequental crcuts led to provdng drect access for storage elements, whereby selected storage elements are

More information

Compiler Design. Spring Register Allocation. Sample Exercises and Solutions. Prof. Pedro C. Diniz

Compiler Design. Spring Register Allocation. Sample Exercises and Solutions. Prof. Pedro C. Diniz Compler Desgn Sprng 2014 Regster Allocaton Sample Exercses and Solutons Prof. Pedro C. Dnz USC / Informaton Scences Insttute 4676 Admralty Way, Sute 1001 Marna del Rey, Calforna 90292 pedro@s.edu Regster

More information

Lecture 3: Computer Arithmetic: Multiplication and Division

Lecture 3: Computer Arithmetic: Multiplication and Division 8-447 Lecture 3: Computer Arthmetc: Multplcaton and Dvson James C. Hoe Dept of ECE, CMU January 26, 29 S 9 L3- Announcements: Handout survey due Lab partner?? Read P&H Ch 3 Read IEEE 754-985 Handouts:

More information

Data Representation in Digital Design, a Single Conversion Equation and a Formal Languages Approach

Data Representation in Digital Design, a Single Conversion Equation and a Formal Languages Approach Data Representaton n Dgtal Desgn, a Sngle Converson Equaton and a Formal Languages Approach Hassan Farhat Unversty of Nebraska at Omaha Abstract- In the study of data representaton n dgtal desgn and computer

More information

A RECONFIGURABLE ARCHITECTURE FOR MULTI-GIGABIT SPEED CONTENT-BASED ROUTING. James Moscola, Young H. Cho, John W. Lockwood

A RECONFIGURABLE ARCHITECTURE FOR MULTI-GIGABIT SPEED CONTENT-BASED ROUTING. James Moscola, Young H. Cho, John W. Lockwood A RECONFIGURABLE ARCHITECTURE FOR MULTI-GIGABIT SPEED CONTENT-BASED ROUTING James Moscola, Young H. Cho, John W. Lockwood Dept. of Computer Scence and Engneerng Washngton Unversty, St. Lous, MO {jmm5,

More information

The Codesign Challenge

The Codesign Challenge ECE 4530 Codesgn Challenge Fall 2007 Hardware/Software Codesgn The Codesgn Challenge Objectves In the codesgn challenge, your task s to accelerate a gven software reference mplementaton as fast as possble.

More information

Wishing you all a Total Quality New Year!

Wishing you all a Total Quality New Year! Total Qualty Management and Sx Sgma Post Graduate Program 214-15 Sesson 4 Vnay Kumar Kalakband Assstant Professor Operatons & Systems Area 1 Wshng you all a Total Qualty New Year! Hope you acheve Sx sgma

More information

Area Efficient Self Timed Adders For Low Power Applications in VLSI

Area Efficient Self Timed Adders For Low Power Applications in VLSI ISSN(Onlne): 2319-8753 ISSN (Prnt) :2347-6710 Internatonal Journal of Innovatve Research n Scence, Engneerng and Technology (An ISO 3297: 2007 Certfed Organzaton) Area Effcent Self Tmed Adders For Low

More information

VRT012 User s guide V0.1. Address: Žirmūnų g. 27, Vilnius LT-09105, Phone: (370-5) , Fax: (370-5) ,

VRT012 User s guide V0.1. Address: Žirmūnų g. 27, Vilnius LT-09105, Phone: (370-5) , Fax: (370-5) , VRT012 User s gude V0.1 Thank you for purchasng our product. We hope ths user-frendly devce wll be helpful n realsng your deas and brngng comfort to your lfe. Please take few mnutes to read ths manual

More information

System-on-Chip Design Analysis of Control Data Flow. Hao Zheng Comp Sci & Eng U of South Florida

System-on-Chip Design Analysis of Control Data Flow. Hao Zheng Comp Sci & Eng U of South Florida System-on-Chp Desgn Analyss of Control Data Flow Hao Zheng Comp Sc & Eng U of South Florda Overvew DF models descrbe concurrent computa=on at a very hgh level Each actor descrbes non-trval computa=on.

More information

High level vs Low Level. What is a Computer Program? What does gcc do for you? Program = Instructions + Data. Basic Computer Organization

High level vs Low Level. What is a Computer Program? What does gcc do for you? Program = Instructions + Data. Basic Computer Organization What s a Computer Program? Descrpton of algorthms and data structures to acheve a specfc ojectve Could e done n any language, even a natural language lke Englsh Programmng language: A Standard notaton

More information

ELEC 377 Operating Systems. Week 6 Class 3

ELEC 377 Operating Systems. Week 6 Class 3 ELEC 377 Operatng Systems Week 6 Class 3 Last Class Memory Management Memory Pagng Pagng Structure ELEC 377 Operatng Systems Today Pagng Szes Vrtual Memory Concept Demand Pagng ELEC 377 Operatng Systems

More information

Assembler. Shimon Schocken. Spring Elements of Computing Systems 1 Assembler (Ch. 6) Compiler. abstract interface.

Assembler. Shimon Schocken. Spring Elements of Computing Systems 1 Assembler (Ch. 6) Compiler. abstract interface. IDC Herzlya Shmon Schocken Assembler Shmon Schocken Sprng 2005 Elements of Computng Systems 1 Assembler (Ch. 6) Where we are at: Human Thought Abstract desgn Chapters 9, 12 abstract nterface H.L. Language

More information

THE low-density parity-check (LDPC) code is getting

THE low-density parity-check (LDPC) code is getting Implementng the NASA Deep Space LDPC Codes for Defense Applcatons Wley H. Zhao, Jeffrey P. Long 1 Abstract Selected codes from, and extended from, the NASA s deep space low-densty party-check (LDPC) codes

More information

Harvard University CS 101 Fall 2005, Shimon Schocken. Assembler. Elements of Computing Systems 1 Assembler (Ch. 6)

Harvard University CS 101 Fall 2005, Shimon Schocken. Assembler. Elements of Computing Systems 1 Assembler (Ch. 6) Harvard Unversty CS 101 Fall 2005, Shmon Schocken Assembler Elements of Computng Systems 1 Assembler (Ch. 6) Why care about assemblers? Because Assemblers employ some nfty trcks Assemblers are the frst

More information

Virtual Memory. Background. No. 10. Virtual Memory: concept. Logical Memory Space (review) Demand Paging(1) Virtual Memory

Virtual Memory. Background. No. 10. Virtual Memory: concept. Logical Memory Space (review) Demand Paging(1) Virtual Memory Background EECS. Operatng System Fundamentals No. Vrtual Memory Prof. Hu Jang Department of Electrcal Engneerng and Computer Scence, York Unversty Memory-management methods normally requres the entre process

More information

Lecture 7. Standard ICs FPGA (Field Programmable Gate Array) VHDL (Very-high-speed integrated circuits. Hardware Description Language)

Lecture 7. Standard ICs FPGA (Field Programmable Gate Array) VHDL (Very-high-speed integrated circuits. Hardware Description Language) Standard ICs FPGA (Field Programmable Gate Array) VHDL (Very-high-speed integrated circuits Hardware Description Language) 1 Standard ICs PLD: Programmable Logic Device CPLD: Complex PLD FPGA: Field Programmable

More information

Rapid Development of High Performance Floating-Point Pipelines for Scientific Simulation 1

Rapid Development of High Performance Floating-Point Pipelines for Scientific Simulation 1 Rapd Development of Hgh Performance Floatng-Pont Ppelnes for Scentfc Smulaton 1 G. Lenhart, A. Kugel and R. Männer Dept. for Computer Scence V, Unversty of Mannhem, B6-26B, D-68131 Mannhem, Germany {lenhart,kugel,maenner}@t.un-mannhem.de

More information

Using Delayed Addition Techniques to Accelerate Integer and Floating-Point Calculations in Configurable Hardware

Using Delayed Addition Techniques to Accelerate Integer and Floating-Point Calculations in Configurable Hardware Draft submtted for publcaton. Please do not dstrbute Usng Delayed Addton echnques to Accelerate Integer and Floatng-Pont Calculatons n Confgurable Hardware Zhen Luo, Nonmember and Margaret Martonos, Member,

More information

B.10 Finite State Machines B.10

B.10 Finite State Machines B.10 B.10 Finite State Machines B-67 128-bit word needs 8. This type of code is called a Hamming code, after R. Hamming, who described a method for creating such codes. B.10 Finite State Machines B.10 As we

More information

A mathematical programming approach to the analysis, design and scheduling of offshore oilfields

A mathematical programming approach to the analysis, design and scheduling of offshore oilfields 17 th European Symposum on Computer Aded Process Engneerng ESCAPE17 V. Plesu and P.S. Agach (Edtors) 2007 Elsever B.V. All rghts reserved. 1 A mathematcal programmng approach to the analyss, desgn and

More information

Circuit Analysis I (ENGR 2405) Chapter 3 Method of Analysis Nodal(KCL) and Mesh(KVL)

Circuit Analysis I (ENGR 2405) Chapter 3 Method of Analysis Nodal(KCL) and Mesh(KVL) Crcut Analyss I (ENG 405) Chapter Method of Analyss Nodal(KCL) and Mesh(KVL) Nodal Analyss If nstead of focusng on the oltages of the crcut elements, one looks at the oltages at the nodes of the crcut,

More information

Lobachevsky State University of Nizhni Novgorod. Polyhedron. Quick Start Guide

Lobachevsky State University of Nizhni Novgorod. Polyhedron. Quick Start Guide Lobachevsky State Unversty of Nzhn Novgorod Polyhedron Quck Start Gude Nzhn Novgorod 2016 Contents Specfcaton of Polyhedron software... 3 Theoretcal background... 4 1. Interface of Polyhedron... 6 1.1.

More information

CHAPTER 4 PARALLEL PREFIX ADDER

CHAPTER 4 PARALLEL PREFIX ADDER 93 CHAPTER 4 PARALLEL PREFIX ADDER 4.1 INTRODUCTION VLSI Integer adders fnd applcatons n Arthmetc and Logc Unts (ALUs), mcroprocessors and memory addressng unts. Speed of the adder often decdes the mnmum

More information

Motivation. EE 457 Unit 4. Throughput vs. Latency. Performance Depends on View Point?! Computer System Performance. An individual user wants to:

Motivation. EE 457 Unit 4. Throughput vs. Latency. Performance Depends on View Point?! Computer System Performance. An individual user wants to: 4.1 4.2 Motvaton EE 457 Unt 4 Computer System Performance An ndvdual user wants to: Mnmze sngle program executon tme A datacenter owner wants to: Maxmze number of Mnmze ( ) http://e-tellgentnternetmarketng.com/webste/frustrated-computer-user-2/

More information

Concurrent models of computation for embedded software

Concurrent models of computation for embedded software Concurrent models of computaton for embedded software and hardware! Researcher overvew what t looks lke semantcs what t means and how t relates desgnng an actor language actor propertes and how to represent

More information

Verification by testing

Verification by testing Real-Tme Systems Specfcaton Implementaton System models Executon-tme analyss Verfcaton Verfcaton by testng Dad? How do they know how much weght a brdge can handle? They drve bgger and bgger trucks over

More information

Quantifying Performance Models

Quantifying Performance Models Quantfyng Performance Models Prof. Danel A. Menascé Department of Computer Scence George Mason Unversty www.cs.gmu.edu/faculty/menasce.html 1 Copyrght Notce Most of the fgures n ths set of sldes come from

More information

Parallel Inverse Halftoning by Look-Up Table (LUT) Partitioning

Parallel Inverse Halftoning by Look-Up Table (LUT) Partitioning Parallel Inverse Halftonng by Look-Up Table (LUT) Parttonng Umar F. Sddq and Sadq M. Sat umar@ccse.kfupm.edu.sa, sadq@kfupm.edu.sa KFUPM Box: Department of Computer Engneerng, Kng Fahd Unversty of Petroleum

More information

Cache Performance 3/28/17. Agenda. Cache Abstraction and Metrics. Direct-Mapped Cache: Placement and Access

Cache Performance 3/28/17. Agenda. Cache Abstraction and Metrics. Direct-Mapped Cache: Placement and Access Agenda Cache Performance Samra Khan March 28, 217 Revew from last lecture Cache access Assocatvty Replacement Cache Performance Cache Abstracton and Metrcs Address Tag Store (s the address n the cache?

More information

The stream cipher MICKEY-128 (version 1) Algorithm specification issue 1.0

The stream cipher MICKEY-128 (version 1) Algorithm specification issue 1.0 The stream cpher MICKEY-128 (verson 1 Algorthm specfcaton ssue 1. Steve Babbage Vodafone Group R&D, Newbury, UK steve.babbage@vodafone.com Matthew Dodd Independent consultant matthew@mdodd.net www.mdodd.net

More information

Real-Time Systems. Real-Time Systems. Verification by testing. Verification by testing

Real-Time Systems. Real-Time Systems. Verification by testing. Verification by testing EDA222/DIT161 Real-Tme Systems, Chalmers/GU, 2014/2015 Lecture #8 Real-Tme Systems Real-Tme Systems Lecture #8 Specfcaton Professor Jan Jonsson Implementaton System models Executon-tme analyss Department

More information

FPGA-based implementation of circular interpolation

FPGA-based implementation of circular interpolation Avalable onlne www.jocpr.com Journal of Chemcal and Pharmaceutcal Research, 04, 6(7):585-593 Research Artcle ISSN : 0975-7384 CODEN(USA) : JCPRC5 FPGA-based mplementaton of crcular nterpolaton Mngyu Gao,

More information

CMPS 10 Introduction to Computer Science Lecture Notes

CMPS 10 Introduction to Computer Science Lecture Notes CPS 0 Introducton to Computer Scence Lecture Notes Chapter : Algorthm Desgn How should we present algorthms? Natural languages lke Englsh, Spansh, or French whch are rch n nterpretaton and meanng are not

More information

FPGA Based Fixed Width 4 4, 6 6, 8 8 and Bit Multipliers using Spartan-3AN

FPGA Based Fixed Width 4 4, 6 6, 8 8 and Bit Multipliers using Spartan-3AN IJCSNS Internatonal Journal of Computer Scence and Network Securty, VOL.11 No.2, February 211 61 FPGA Based Fxed Wdth 4 4, 6 6, 8 8 and 12 12-Bt Multplers usng Spartan-3AN Muhammad H. Ras and Mohamed H.

More information

High-Level Power Modeling of CPLDs and FPGAs

High-Level Power Modeling of CPLDs and FPGAs Hgh-Level Power Modelng of CPLs and FPGAs L Shang and Nraj K. Jha epartment of Electrcal Engneerng Prnceton Unversty {lshang, jha}@ee.prnceton.edu Abstract In ths paper, we present a hgh-level power modelng

More information

Zilog ZDS 1/25 HARDWARE USER MANUAL \ PRELIMINARY

Zilog ZDS 1/25 HARDWARE USER MANUAL \ PRELIMINARY Zlog ZDS 1/25 HARDWARE USER MANUAL \ PRELIMINARY 03-3003-02, Revson 1 31 October 1978 Copyrght 1978 by Zlog, Inc. All rghts reserved. No part of ths publcaton may be reproduced, stored n any retreval system,

More information

CHARUTAR VIDYA MANDAL S SEMCOM Vallabh Vidyanagar

CHARUTAR VIDYA MANDAL S SEMCOM Vallabh Vidyanagar CHARUTAR VIDYA MANDAL S SEMCOM Vallabh Vdyanagar Faculty Name: Am D. Trved Class: SYBCA Subject: US03CBCA03 (Advanced Data & Fle Structure) *UNIT 1 (ARRAYS AND TREES) **INTRODUCTION TO ARRAYS If we want

More information

Lecture 5: Multilayer Perceptrons

Lecture 5: Multilayer Perceptrons Lecture 5: Multlayer Perceptrons Roger Grosse 1 Introducton So far, we ve only talked about lnear models: lnear regresson and lnear bnary classfers. We noted that there are functons that can t be represented

More information

Setup and Use. For events not using AuctionMaestro Pro. Version /7/2013

Setup and Use. For events not using AuctionMaestro Pro. Version /7/2013 Verson 3.1.2 2/7/2013 Setup and Use For events not usng AuctonMaestro Pro MaestroSoft, Inc. 1750 112th Avenue NE, Sute A200, Bellevue, WA 98004 425.688.0809 / 800.438.6498 Fax: 425.688.0999 www.maestrosoft.com

More information

Modeling Multiple Input Switching of CMOS Gates in DSM Technology Using HDMR

Modeling Multiple Input Switching of CMOS Gates in DSM Technology Using HDMR 1 Modelng Multple Input Swtchng of CMOS Gates n DSM Technology Usng HDMR Jayashree Srdharan and Tom Chen Dept. of Electrcal and Computer Engneerng Colorado State Unversty, Fort Collns, CO, 8523, USA (jaya@engr.colostate.edu,

More information

CHAPTER 4. Applications of Boolean Algebra/ Minterm and Maxterm Expansions

CHAPTER 4. Applications of Boolean Algebra/ Minterm and Maxterm Expansions Fundaentals o Logc Desgn hap. 4 HAPTER 4 /8 Applcatons o Boolean Algebra/ Mnter and Maxter Expansons Ths chapter n the book ncludes: Objectves Study Gude 4. onverson o Englsh Sentences to Boolean Equatons

More information

If you miss a key. Chapter 6: Demand Paging Source:

If you miss a key. Chapter 6: Demand Paging Source: ADRIAN PERRIG & TORSTEN HOEFLER ( -6- ) Networks and Operatng Systems Chapter 6: Demand Pagng Source: http://redmne.replcant.us/projects/replcant/wk/samsunggalaxybackdoor If you mss a key after yesterday

More information

Assignment # 2. Farrukh Jabeen Algorithms 510 Assignment #2 Due Date: June 15, 2009.

Assignment # 2. Farrukh Jabeen Algorithms 510 Assignment #2 Due Date: June 15, 2009. Farrukh Jabeen Algorthms 51 Assgnment #2 Due Date: June 15, 29. Assgnment # 2 Chapter 3 Dscrete Fourer Transforms Implement the FFT for the DFT. Descrbed n sectons 3.1 and 3.2. Delverables: 1. Concse descrpton

More information

CUSTOM FPGA CRYPTOGRAPHIC BLOCKS FOR RECONFIGURABLE EMBEDDED NIOS PROCESSOR

CUSTOM FPGA CRYPTOGRAPHIC BLOCKS FOR RECONFIGURABLE EMBEDDED NIOS PROCESSOR CUSTO PGA CRYPTOGRAPHIC BLOCKS OR RECONIGURABLE EBEE NIOS PROCESSOR loš rutarovský, artn Šmka epartment of Electroncs and ultmeda Communcatons, aculty of Electrcal Engneerng and Informatcs, Techncal Unversty

More information

CS 268: Lecture 8 Router Support for Congestion Control

CS 268: Lecture 8 Router Support for Congestion Control CS 268: Lecture 8 Router Support for Congeston Control Ion Stoca Computer Scence Dvson Department of Electrcal Engneerng and Computer Scences Unversty of Calforna, Berkeley Berkeley, CA 9472-1776 Router

More information

Memory and I/O Organization

Memory and I/O Organization Memory and I/O Organzaton 8-1 Prncple of Localty Localty small proporton of memory accounts for most run tme Rule of thumb For 9% of run tme next nstructon/data wll come from 1% of program/data closest

More information

Barriers. CS252 Graduate Computer Architecture Lecture 22. Synchronization (con t) Memory Technology Error Correction Codes April 18 th, 2010

Barriers. CS252 Graduate Computer Architecture Lecture 22. Synchronization (con t) Memory Technology Error Correction Codes April 18 th, 2010 CS252 Graduate Computer Archtecture Lecture 22 Synchronzaton (con t) Technology Error Correcton Codes Aprl 18 th, 2010 John Kubatowcz Electrcal Engneerng and Computer Scences Unversty of Calforna, Berkeley

More information

THEORETICAL BACKGROUND FOR THE APPLET DESIGN AND TEST OF DIGITAL SYSTEMS ON RT-LEVEL AND RELATED EXERCISES

THEORETICAL BACKGROUND FOR THE APPLET DESIGN AND TEST OF DIGITAL SYSTEMS ON RT-LEVEL AND RELATED EXERCISES TALLINN TECHNICAL UNIVERSITY Faculty of Informaton Technology Department of Computer Engneerng Char of Computer Engneerng and Dagnostcs THEORETICAL BACKGROUND FOR THE APPLET DESIGN AND TEST OF DIGITAL

More information

Array transposition in CUDA shared memory

Array transposition in CUDA shared memory Array transposton n CUDA shared memory Mke Gles February 19, 2014 Abstract Ths short note s nspred by some code wrtten by Jeremy Appleyard for the transposton of data through shared memory. I had some

More information

TDT4255 Computer Design. Lecture 4. Magnus Jahre. TDT4255 Computer Design

TDT4255 Computer Design. Lecture 4. Magnus Jahre. TDT4255 Computer Design 1 TDT4255 Computer Design Lecture 4 Magnus Jahre 2 Outline Chapter 4.1 to 4.4 A Multi-cycle Processor Appendix D 3 Chapter 4 The Processor Acknowledgement: Slides are adapted from Morgan Kaufmann companion

More information

Simulation Based Analysis of FAST TCP using OMNET++

Simulation Based Analysis of FAST TCP using OMNET++ Smulaton Based Analyss of FAST TCP usng OMNET++ Umar ul Hassan 04030038@lums.edu.pk Md Term Report CS678 Topcs n Internet Research Sprng, 2006 Introducton Internet traffc s doublng roughly every 3 months

More information

Mallathahally, Bangalore, India 1 2

Mallathahally, Bangalore, India 1 2 7 IMPLEMENTATION OF HIGH PERFORMANCE BINARY SQUARER PRADEEP M C, RAMESH S, Department of Electroncs and Communcaton Engneerng, Dr. Ambedkar Insttute of Technology, Mallathahally, Bangalore, Inda pradeepmc@gmal.com,

More information

USING GRAPHING SKILLS

USING GRAPHING SKILLS Name: BOLOGY: Date: _ Class: USNG GRAPHNG SKLLS NTRODUCTON: Recorded data can be plotted on a graph. A graph s a pctoral representaton of nformaton recorded n a data table. t s used to show a relatonshp

More information

Optimization of Critical Paths in Circuits with Level-Sensitive Latches

Optimization of Critical Paths in Circuits with Level-Sensitive Latches Optmzaton of Crtcal Paths n Crcuts wth Level-enstve Latches Tmothy M. Burks 1 and Karem A. akallah 2 1 ystems Technology and Archtecture Dvson, IBM Corporaton, Austn, TX 2 Department of Electrcal Engneerng

More information

Simple March Tests for PSF Detection in RAM

Simple March Tests for PSF Detection in RAM Smple March Tests for PSF Detecton n RAM Ireneusz Mroze Balysto Techncal Unversty Computer Scence Department Wejsa 45A, 5-35 Balysto POLAND mroze@.pb.balysto.pl Eugena Buslowsa Balysto Techncal Unversty

More information

Programming in Fortran 90 : 2017/2018

Programming in Fortran 90 : 2017/2018 Programmng n Fortran 90 : 2017/2018 Programmng n Fortran 90 : 2017/2018 Exercse 1 : Evaluaton of functon dependng on nput Wrte a program who evaluate the functon f (x,y) for any two user specfed values

More information

Analysis of Min Sum Iterative Decoder using Buffer Insertion

Analysis of Min Sum Iterative Decoder using Buffer Insertion Analyss of Mn Sum Iteratve ecoder usng Buffer Inserton Saravanan Swapna M.E II year, ept of ECE SSN College of Engneerng M. Anbuselv Assstant Professor, ept of ECE SSN College of Engneerng S.Salvahanan

More information

RADIX-10 PARALLEL DECIMAL MULTIPLIER

RADIX-10 PARALLEL DECIMAL MULTIPLIER RADIX-10 PARALLEL DECIMAL MULTIPLIER 1 MRUNALINI E. INGLE & 2 TEJASWINI PANSE 1&2 Electroncs Engneerng, Yeshwantrao Chavan College of Engneerng, Nagpur, Inda E-mal : mrunalngle@gmal.com, tejaswn.deshmukh@gmal.com

More information

CSE 260 Introduction to Digital Logic and Computer Design. Exam 1. Your name 2/13/2014

CSE 260 Introduction to Digital Logic and Computer Design. Exam 1. Your name 2/13/2014 CSE 260 Introduction to Digital Logic and Computer Design Jonathan Turner Exam 1 Your name 2/13/2014 1. (10 points) Draw a logic diagram that implements the expression A(B+C)(C +D)(B+D ) directly (do not

More information

Functional Testing of Digital Systems

Functional Testing of Digital Systems Functonal Testng of Dgtal Systems Kwok- Woon La Bell Laboratores Murray Hll, New Jersey 07974 Danel P. Seworek Carnege-Mellon Unversty Pttsburgh, Pennsylvana 15213 ABSTRACT Functonal testng s testng amed

More information

Content Based Image Retrieval Using 2-D Discrete Wavelet with Texture Feature with Different Classifiers

Content Based Image Retrieval Using 2-D Discrete Wavelet with Texture Feature with Different Classifiers IOSR Journal of Electroncs and Communcaton Engneerng (IOSR-JECE) e-issn: 78-834,p- ISSN: 78-8735.Volume 9, Issue, Ver. IV (Mar - Apr. 04), PP 0-07 Content Based Image Retreval Usng -D Dscrete Wavelet wth

More information

Wavefront Reconstructor

Wavefront Reconstructor A Dstrbuted Smplex B-Splne Based Wavefront Reconstructor Coen de Vsser and Mchel Verhaegen 14-12-201212 2012 Delft Unversty of Technology Contents Introducton Wavefront reconstructon usng Smplex B-Splnes

More information

Efficient Distributed File System (EDFS)

Efficient Distributed File System (EDFS) Effcent Dstrbuted Fle System (EDFS) (Sem-Centralzed) Debessay(Debsh) Fesehaye, Rahul Malk & Klara Naherstedt Unversty of Illnos-Urbana Champagn Contents Problem Statement, Related Work, EDFS Desgn Rate

More information

CS 61C: Great Ideas in Computer Architecture (Machine Structures) Lecture 28: Single- Cycle CPU Datapath Control Part 1

CS 61C: Great Ideas in Computer Architecture (Machine Structures) Lecture 28: Single- Cycle CPU Datapath Control Part 1 CS 61C: Great Ideas in Computer Architecture (Machine Structures) Lecture 28: Single- Cycle CPU Datapath Control Part 1 Guest Lecturer: Sagar Karandikar hfp://inst.eecs.berkeley.edu/~cs61c/ http://research.microsoft.com/apps/pubs/default.aspx?id=212001!

More information

Complex Numbers. Now we also saw that if a and b were both positive then ab = a b. For a second let s forget that restriction and do the following.

Complex Numbers. Now we also saw that if a and b were both positive then ab = a b. For a second let s forget that restriction and do the following. Complex Numbers The last topc n ths secton s not really related to most of what we ve done n ths chapter, although t s somewhat related to the radcals secton as we wll see. We also won t need the materal

More information

Sign here to give permission for your test to be returned in class, where others might see your score:

Sign here to give permission for your test to be returned in class, where others might see your score: EEL 4712 Midterm 2 Spring 216 VERSION 1 Name: UFID: Sign here to give permission for your test to be returned in class, where others might see your score: IMPORTANT: Please be neat and write (or draw)

More information

Esc101 Lecture 1 st April, 2008 Generating Permutation

Esc101 Lecture 1 st April, 2008 Generating Permutation Esc101 Lecture 1 Aprl, 2008 Generatng Permutaton In ths class we wll look at a problem to wrte a program that takes as nput 1,2,...,N and prnts out all possble permutatons of the numbers 1,2,...,N. For

More information

NAG Fortran Library Chapter Introduction. G10 Smoothing in Statistics

NAG Fortran Library Chapter Introduction. G10 Smoothing in Statistics Introducton G10 NAG Fortran Lbrary Chapter Introducton G10 Smoothng n Statstcs Contents 1 Scope of the Chapter... 2 2 Background to the Problems... 2 2.1 Smoothng Methods... 2 2.2 Smoothng Splnes and Regresson

More information

Problem Set 3 Solutions

Problem Set 3 Solutions Introducton to Algorthms October 4, 2002 Massachusetts Insttute of Technology 6046J/18410J Professors Erk Demane and Shaf Goldwasser Handout 14 Problem Set 3 Solutons (Exercses were not to be turned n,

More information

SYSTEM 8 Diagnostic Solution Plus Ideal Starter Package

SYSTEM 8 Diagnostic Solution Plus Ideal Starter Package Ideal Starter Package The SYSTEM 8 Dagnostc Soluton Plus s the soluton to board fault-fndng problems. Equally at home wth analogue or dgtal PCBs, the system's 64 dgtal and 24 analogue test channels provde

More information

Storage Binding in RTL synthesis

Storage Binding in RTL synthesis Storage Bndng n RTL synthess Pe Zhang Danel D. Gajsk Techncal Report ICS-0-37 August 0th, 200 Center for Embedded Computer Systems Department of Informaton and Computer Scence Unersty of Calforna, Irne

More information

A SCALABLE DIGITAL ARCHITECTURE OF A KOHONEN NEURAL NETWORK

A SCALABLE DIGITAL ARCHITECTURE OF A KOHONEN NEURAL NETWORK A SCALABLE DIGITAL ARCHITECTURE OF A KOHONEN NEURAL NETWORK Andrés E. Valenca, Jorge A. Peña and Maurco Vanegas. Unversdad Pontfca Bolvarana, Medellín, Colomba andrez_valenca@yahoo.com, jorge.pena@alar.ch,

More information

Brave New World Pseudocode Reference

Brave New World Pseudocode Reference Brave New World Pseudocode Reference Pseudocode s a way to descrbe how to accomplsh tasks usng basc steps lke those a computer mght perform. In ths week s lab, you'll see how a form of pseudocode can be

More information

FPGA IMPLEMENTATION OF RADIX-10 PARALLEL DECIMAL MULTIPLIER

FPGA IMPLEMENTATION OF RADIX-10 PARALLEL DECIMAL MULTIPLIER FPGA IMPLEMENTATION OF RADIX-10 PARALLEL DECIMAL MULTIPLIER A Dssertaton Submtted In Partal Fulflment of the Requred for the Degree of MASTER OF TECHNOLOGY In VLSI Desgn Submtted By GEETA Roll no. 601361009

More information

Yield Enhancement of Asynchronous Logic Circuits through 3-Dimensional Integration Technology

Yield Enhancement of Asynchronous Logic Circuits through 3-Dimensional Integration Technology Yeld Enhancement of Asynchronous Logc Crcuts through 3-Dmensonal Integraton Technology Abstract Ths paper presents a systematc desgn for yeld enhancement of asynchronous logc crcuts usng 3-D (3- Dmensonal)

More information

Computer Architecture ELEC3441

Computer Architecture ELEC3441 Causes of Cache Msses: The 3 C s Computer Archtecture ELEC3441 Lecture 9 Cache (2) Dr. Hayden Kwo-Hay So Department of Electrcal and Electronc Engneerng Compulsory: frst reference to a lne (a..a. cold

More information

RAP. Speed/RAP/CODA. Real-time Systems. Modeling the sensor networks. Real-time Systems. Modeling the sensor networks. Real-time systems:

RAP. Speed/RAP/CODA. Real-time Systems. Modeling the sensor networks. Real-time Systems. Modeling the sensor networks. Real-time systems: Speed/RAP/CODA Presented by Octav Chpara Real-tme Systems Many wreless sensor network applcatons requre real-tme support Survellance and trackng Border patrol Fre fghtng Real-tme systems: Hard real-tme:

More information

News. Recap: While Loop Example. Reading. Recap: Do Loop Example. Recap: For Loop Example

News. Recap: While Loop Example. Reading. Recap: Do Loop Example. Recap: For Loop Example Unversty of Brtsh Columba CPSC, Intro to Computaton Jan-Apr Tamara Munzner News Assgnment correctons to ASCIIArtste.java posted defntely read WebCT bboards Arrays Lecture, Tue Feb based on sldes by Kurt

More information

VHDL for Synthesis. Course Description. Course Duration. Goals

VHDL for Synthesis. Course Description. Course Duration. Goals VHDL for Synthesis Course Description This course provides all necessary theoretical and practical know how to write an efficient synthesizable HDL code through VHDL standard language. The course goes

More information

Intro. Iterators. 1. Access

Intro. Iterators. 1. Access Intro Ths mornng I d lke to talk a lttle bt about s and s. We wll start out wth smlartes and dfferences, then we wll see how to draw them n envronment dagrams, and we wll fnsh wth some examples. Happy

More information

AADL : about scheduling analysis

AADL : about scheduling analysis AADL : about schedulng analyss Schedulng analyss, what s t? Embedded real-tme crtcal systems have temporal constrants to meet (e.g. deadlne). Many systems are bult wth operatng systems provdng multtaskng

More information

ECE 545 Lecture 12. Datapath vs. Controller. Structure of a Typical Digital System Data Inputs. Required reading. Design of Controllers

ECE 545 Lecture 12. Datapath vs. Controller. Structure of a Typical Digital System Data Inputs. Required reading. Design of Controllers ECE 545 Lecture 12 Design of Controllers Finite State Machines and Algorithmic State Machine (ASM) Charts Required reading P. Chu, using VHDL Chapter 1, Finite State Machine: Principle & Practice Chapter

More information

Hardware Support for QoS-based Function Allocation in Reconfigurable Systems

Hardware Support for QoS-based Function Allocation in Reconfigurable Systems Hardware Support for QoS-based Functon Allocaton n Reconfgurable Systems Mchael Ullmann, Wansheng Jn, Jürgen Becker Unverstät Karlsruhe (TH), Germany {ullmann, jn, becker}@tv.un-karlsruhe.de Abstract Ths

More information

Problem Set 10 Solutions

Problem Set 10 Solutions CSE 260 Digital Computers: Organization and Logical Design Problem Set 10 Solutions Jon Turner thru 6.20 1. The diagram below shows a memory array containing 32 words of 2 bits each. Label each memory

More information

Some material adapted from Mohamed Younis, UMBC CMSC 611 Spr 2003 course slides Some material adapted from Hennessy & Patterson / 2003 Elsevier

Some material adapted from Mohamed Younis, UMBC CMSC 611 Spr 2003 course slides Some material adapted from Hennessy & Patterson / 2003 Elsevier Some materal adapted from Mohamed Youns, UMBC CMSC 611 Spr 2003 course sldes Some materal adapted from Hennessy & Patterson / 2003 Elsever Scence Performance = 1 Executon tme Speedup = Performance (B)

More information

Specifications in 2001

Specifications in 2001 Specfcatons n 200 MISTY (updated : May 3, 2002) September 27, 200 Mtsubsh Electrc Corporaton Block Cpher Algorthm MISTY Ths document shows a complete descrpton of encrypton algorthm MISTY, whch are secret-key

More information

A Binarization Algorithm specialized on Document Images and Photos

A Binarization Algorithm specialized on Document Images and Photos A Bnarzaton Algorthm specalzed on Document mages and Photos Ergna Kavalleratou Dept. of nformaton and Communcaton Systems Engneerng Unversty of the Aegean kavalleratou@aegean.gr Abstract n ths paper, a

More information

ADRIAN PERRIG & TORSTEN HOEFLER ( -6- ) Networks and Operatng Systems Chapter 6: Demand Pagng Page Table Structures Page table structures Page table structures Problem: smple lnear table s too bg Problem:

More information

Sequential search. Building Java Programs Chapter 13. Sequential search. Sequential search

Sequential search. Building Java Programs Chapter 13. Sequential search. Sequential search Sequental search Buldng Java Programs Chapter 13 Searchng and Sortng sequental search: Locates a target value n an array/lst by examnng each element from start to fnsh. How many elements wll t need to

More information

EFFICIENT SYNCHRONOUS PARALLEL DISCRETE EVENT SIMULATION

EFFICIENT SYNCHRONOUS PARALLEL DISCRETE EVENT SIMULATION EFFICIENT SYNCHRONOUS PARALLEL DISCRETE EVENT SIMULATION WITH THE ARMEN ARCHITECTURE C. Beaumont, B. Potter J.M. Flloque LIBr I.U.T. de Brest and LIBr Unversté de Bretagne Occdentale Télécom Bretagne BP

More information

Computational ghost imaging using a fieldprogrammable

Computational ghost imaging using a fieldprogrammable Computatonal ghost magng usng a feldprogrammable gate array IKUO HOSHI, * TOMOYOSHI SHIMOBABA, TAKASHI KAKUE, AND TOMOYOSHI ITO 1 Graduate School of Engneerng, Chba Unversty, 1-33, Yayo-cho, Inage-ku,

More information

FIBARO WALL PLUG OPERATING MANUAL FGBWHWPE-102/FGBWHWPF-102 CONTENTS

FIBARO WALL PLUG OPERATING MANUAL FGBWHWPE-102/FGBWHWPF-102 CONTENTS OPERATING MANUAL EN FIBARO WALL PLUG FGBWHWPE-102/FGBWHWPF-102 CONTENTS #1: Descrpton and features 3 #2: Parng the accessory 4 #3: Reset 5 #4: Functonalty 6 v1.0 #5: W-F 8 #6: Confgurable parameters 9

More information

A Distributed Dynamic Bandwidth Allocation Algorithm in EPON

A Distributed Dynamic Bandwidth Allocation Algorithm in EPON www.ccsenet.org/mas Modern Appled Scence Vol. 4, o. 7; July 2010 A Dstrbuted Dynamc Bandwdth Allocaton Algorthm n EPO Feng Cao, Demng Lu, Mnmng Zhang, Kang Yang & Ynbo Qan School of Optoelectronc Scence

More information

For instance, ; the five basic number-sets are increasingly more n A B & B A A = B (1)

For instance, ; the five basic number-sets are increasingly more n A B & B A A = B (1) Secton 1.2 Subsets and the Boolean operatons on sets If every element of the set A s an element of the set B, we say that A s a subset of B, or that A s contaned n B, or that B contans A, and we wrte A

More information

Improving The Test Quality for Scan-based BIST Using A General Test Application Scheme

Improving The Test Quality for Scan-based BIST Using A General Test Application Scheme _ Improvng The Test Qualty for can-based BIT Usng A General Test Applcaton cheme Huan-Chh Tsa Kwang-Tng Cheng udpta Bhawmk Department of ECE Bell Laboratores Unversty of Calforna Lucent Technologes anta

More information

Compiling Process Networks to Interaction Nets

Compiling Process Networks to Interaction Nets Complng Process Networks to Interacton Nets Ian Macke LIX, CNRS UMR 7161, École Polytechnque, 91128 Palaseau Cede, France Kahn process networks are a model of computaton based on a collecton of sequental,

More information