UVM usage for selective dynamic re-configuration of complex designs

Size: px
Start display at page:

Download "UVM usage for selective dynamic re-configuration of complex designs"

Transcription

1 UVM usage for selective dynamic re-configuration of complex designs Kunal Panchal Pushkar Naik Accellera Systems Initiative 1

2 Agenda Introduction Sample DUT Verification Considerations Basic Recommendations Limitations Faced Solution Proposed Flow Chart Conclusion Accellera Systems Initiative 2

3 Introduction Verification of single sub-system chip Demand for multi-subsystem chip Verification complexity of multi-subsystem chip Re-use of proven verification env(s) Accellera Systems Initiative 3

4 Multi-Subsystem Chip Accellera Systems Initiative 4

5 Sample DUT Subsystem variation parameters Rate Variation (10G, 40G ETH) Protocol Variation (ETHERNET, OTN, INTERLAKEN) Switching Fabric for Subsystem to I/O s selection using software Total 6 basic I/O channels of 10G rate each to provide 60G aggregate BW Multiple channels clubbed to form higher BW pipe Accellera Systems Initiative 5

6 10G/40G Networking PHY 60G 10G 10G 10G 10G 10G 10G CHIP PIN I/O Switching Fabric (Subsystem SW selection) 10G Ethernet Subsystem [0..2] 40G Ethernet Subsystem OTN Subsystem [0..2] Interlaken Subsystem Control Subsystem SOC with software enabled subsystem Accellera Systems Initiative 6

7 Sample Test Scenario Initial Setup 3 x 10G ETH Channels 3 x 10G OTN Channels Setup after reconfiguration 1 x 40G ETH Channel 1 x 10G OTN Channel (Untouched) 1 x 10G INTERLAKEN Channel Accellera Systems Initiative 7

8 BW allocation for Dynamic Reconfig 1st Setup: 60G Chip supporting 6x10G protocols TO Setup after reconfiguration: 60G Chip supporting 1x40G & 2x10G protocols 10G Ethernet channel 10G Ethernet channel 10G Ethernet channel 10G OTN channel 10G OTN channel 10G OTN channel 40G Ethernet channel 10G OTN channel 10G Interlaken channel Accellera Systems Initiative 8

9 Verification Considerations A DUT Subsystem with given Rate/Protocol variation forms one UVM environment A test case will create as many Env s as required by test scenario s Rate/Protocol requirement Accellera Systems Initiative 9

10 Multi-Environment Test Bench TESTBENCH Ethernet Subsystem Env(s) TESTCASE VIF_E Connection agent OTN Subsystem Env(s) VIF_O text (Enable/disable paths as per configuration) VIF_TOP REAL_IF DUT VIF_I Interlaken Subsystem Env(s) Accellera Systems Initiative 10

11 Verification Considerations Interface connections An interface with all signals across all DUT features is created Each Env has its own Virtual Interface instance created of above Interface type Idea is that respective Env touches only those signals that it is supposed to A top level Virtual Interface instance is created, again of same interface type, that finally connects to DUT s Real Interface As per Env s active status, its local VIF is connected to the top level VIF, using the connection agent shown Accellera Systems Initiative 11

12 Basic Recommendations Use uvm_config_db for Env components config Env components with enable/disable config as per datapath(sb, mon, drv, etc) Configurable clocks as per Reconfig requirements Proven tests of every subsystem env, tested individually, forms base for Dynamic Reconfig Test Scenario s Reset to all TB components and DUT must be in sync, and placed in reset_phase Any DUT specific reset requirements at the time of reconfig should be carefully taken care of Accellera Systems Initiative 12

13 Basic Recommendations Data sequences lifetime need to be adjusted correctly Env s untouched need to have sequence(s) running till end of test Env s being phased out need to have their sequence(s) end right at Reconfig time (Graceful exit) New Env s being created need to start sequence(s) after they are correctly configured/connected. Common Reconfig tasks to be placed in base_test for all test scenario s to leverage upon Untouched channels should not loose data integrity, ever Newly made active channels should eventually establish data integrity Accellera Systems Initiative 13

14 Limitations Faced Large configuration requirement of components against standard approach UVM s constraint on creation of components outside build_phase UVM s restriction on connection of TLM ports outside connect_phase UVM s phase looping feature cannot be used for Reconfig since few Env s are required to continue their data sequences untouched while few new are required to be created Accellera Systems Initiative 14

15 Solution Proposed As per test scenario, all the required environments (both pre and post reconfig) will be created in build_phase TLM port connections, if any, will be done in connect_phase Switching of Env s is done using dynamic connection of Env s local VIF to the top level VIF during the main_phase Logs reported from all env(s) to conclude test Pass/Fail Accellera Systems Initiative 15

16 Multi- Channel Reconfig Flow Chart Create all env(s) required in Test scenario Perform component connections as usual. Push all env(s) used for 1 st setup in a Q & assign channel number to each Enable environment components, configure DUT channels as per env(s) in Q build_phase() connect_phase() configure_phase() Insert new env(s) into Q. Perform Wait component only those Enable Assign 2 environment Disable connections Start Create channel sequence(s) 1 nd all env(s) as usual. components, st vif_top setup: to 2nd current setup: env(s) of Report env_vif, Start Wait sequence(s) for component complete Push required all 1 st all running configure env logs of Channel on sequence(s) env(s) channels in reconfiguration setup undergoing to which end Test env(s) are that env(s) DUT config sequence(s) for channels all in and env(s) Q which enable to as in end per Q are it. in Q reconfiguration not & needs required? Scenario assign started to channel be yet not Perform env(s) started in DUT Q yet number reconfigured to each configuration. Start sequence(s) of env(s) in Q which are not started yet Channel reconfiguration required? NO Wait for all running sequence(s) to end Report component logs for all env(s) in Q main_phase() YES shutdown_phase Disable current env(s) on channels undergoing reconfiguration Insert new env(s) into Q. Assign vif_top to env_vif, complete env config & enable it. Perform DUT configuration Wait for only those channel env sequences to end that needs to be reconfigured End of Test Accellera Systems Initiative 16

17 8 env: 3x10G enet, 3x10G otn, 40G enet, 10G ikn 1 st Setup for 6 channels: 3x10G enet, 3x10G otn Create all env(s) required in Test scenario Perform component connections as usual. Push all env(s) used for 1 st setup in a Q & assign channel number to each Enable environment components, configure DUT channels as per env(s) in Q build_phase() connect_phase() configure_phase() main_phase() Disable 3x10G enet on ch 0-2 and 2xotn on ch 3&5. (stop clocks, mon, drv, etc) Start seq(s) of env in Q (3x10G enet, 3x10G otn env) Wait for seq(s) to end for inst 40G enet on ch0, 10G otn on ch4 and 10G ikn on ch5 Start sequence(s) of env(s) in Q which are not started yet Channel reconfiguration required? NO Wait for all running sequence(s) to end Report component logs for all env(s) in Q YES shutdown_phase Disable current env(s) on channels undergoing reconfiguration Insert new env(s) into Q. Assign vif_top to env_vif, complete env config & enable it. Perform DUT configuration Wait for only those channel env sequences to end that needs to be reconfigured End of Test Add 40G enet on ch 0 & 10G ikn on ch 5. Assign env_vif to top_vif Wait for seq(s) on ch 0-3 and 5 to end (ch 4 is untouched) Accellera Systems Initiative 17

18 Conclusion Multi-subsystem Chips with Dynamic Reconfig requirement UVM limitations due to phasing structure Components require heavy configurability Paper proposes a work-around : Create all required env(s) at start and switch environments by controlling the Virtual Interface connection(s) during reconfiguration Accellera Systems Initiative 18

19 Questions Accellera Systems Initiative 19

UVM usage for selective dynamic re-configuration of complex designs

UVM usage for selective dynamic re-configuration of complex designs UVM usage for selective dynamic re-configuration of complex designs Kunal Panchal, Applied Micro, Pune, India (kunal.r.panchal@gmail.com) Pushkar Naik, Applied Micro, Pune, India (pushkar.naik@gmail.com)

More information

Responding to TAT Improvement Challenge through Testbench Configurability and Re-use

Responding to TAT Improvement Challenge through Testbench Configurability and Re-use Responding to TAT Improvement Challenge through Testbench Configurability and Re-use Akhila M, Kartik Jain, Renuka Devi, Mukesh Bhartiya Accellera Systems Initiative 1 Motivation Agenda Generic AMBA based

More information

Verification of Digital Systems, Spring UVM Basics

Verification of Digital Systems, Spring UVM Basics 1 UVM Basics Nagesh Loke ARM Cortex-A Class CPU Verification Lead 1 What to expect This lecture aims to: demonstrate the need for a verification methodology provide an understanding of some of the key

More information

UVM-RAL: Registers on demand Elimination of the unnecessary

UVM-RAL: Registers on demand Elimination of the unnecessary UVM-RAL: Registers on demand Elimination of the unnecessary Sailaja Akkem Microsemi Corporation, Hyderabad Accellera Systems Initiative 1 Agenda UVM RAL high level overview. Conventional Register Modelling.

More information

Next Generation Verification Process for Automotive and Mobile Designs with MIPI CSI-2 SM Interface

Next Generation Verification Process for Automotive and Mobile Designs with MIPI CSI-2 SM Interface Thierry Berdah, Yafit Snir Next Generation Verification Process for Automotive and Mobile Designs with MIPI CSI-2 SM Interface Agenda Typical Verification Challenges of MIPI CSI-2 SM designs IP, Sub System

More information

Getting Started with UVM. Agenda

Getting Started with UVM. Agenda Getting Started with UVM Vanessa Cooper Verification Consultant 1 Agenda Testbench Architecture Using the Configuration Database Connecting the Scoreboard Register Model: UVM Reg Predictor Register Model:

More information

UVM-SystemC Standardization Status and Latest Developments

UVM-SystemC Standardization Status and Latest Developments 2/27/2017 UVM-SystemC Standardization Status and Latest Developments Trevor Wieman, SystemC CCI WG Chair Slides by Michael Meredith, Cadence Design Systems 2 Outline Why UVM-SystemC? UVM layered architecture

More information

Verification Prowess with the UVM Harness

Verification Prowess with the UVM Harness Verification Prowess with the UVM Harness Interface Techniques for Advanced Verification Strategies Jeff Vance, Jeff Montesano Verilab Inc. October 19, 2017 Austin SNUG 2017 1 Agenda Introduction UVM Harness

More information

Vertical Reuse of functional verification from subsystem to SoC level (with seamless SoC emulation)

Vertical Reuse of functional verification from subsystem to SoC level (with seamless SoC emulation) Vertical Reuse of functional verification from subsystem to SoC level (with seamless SoC emulation) Pranav Kumar, Staff Engineer Digvijaya Pratap SINGH, Sr. Staff Engineer STMicroelectronics, Greater NOIDA,

More information

An Introduction to Universal Verification Methodology

An Introduction to Universal Verification Methodology An Introduction to Universal Verification Methodology 1 Bhaumik Vaidya 2 NayanPithadiya 1 2 Department of Electronics Engineering, Gujarat Technological University, Gandhinagar, Gujarat, India. 1 vaidya.bhaumik@gmail.com

More information

Design and Verification of Slave Block in Ethernet Management Interface using UVM

Design and Verification of Slave Block in Ethernet Management Interface using UVM Indian Journal of Science and Technology, Vol 9(5), DOI: 10.17485/ijst/2016/v9i5/87173, February 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Design and Verification of Slave Block in Ethernet

More information

Easier UVM Functional Verification for Mainstream Designers

Easier UVM Functional Verification for Mainstream Designers Easier UVM Functional Verification for Mainstream Designers John Aynsley, Doulos 1 Easier UVM Functional Verification for Mainstream Designers Introducing UVM Transactions and Components Sequencers and

More information

FPGA chip verification using UVM

FPGA chip verification using UVM FPGA chip verification using UVM Ravi Ram Principal Verification Engineer Altera Corp Charles Zhang Verification Architect Paradigm Works Outline Overview - Verilog based verification environment - Why

More information

Small, Maintainable Tests

Small, Maintainable Tests Small, Maintainable Tests by Ashley Winn, Sondrel IC Design Services In any verification environment it takes a significant amount of work to keep all the tests running and to ensure that each test continues

More information

Universal Verification Methodology(UVM)

Universal Verification Methodology(UVM) Universal Verification Methodology(UVM) A Powerful Methodology for Functional Verification of Digital Hardware Abstract - With the increasing adoption of UVM, there is a growing demand for guidelines and

More information

Yafit Snir Arindam Guha Cadence Design Systems, Inc. Accelerating System level Verification of SOC Designs with MIPI Interfaces

Yafit Snir Arindam Guha Cadence Design Systems, Inc. Accelerating System level Verification of SOC Designs with MIPI Interfaces Yafit Snir Arindam Guha, Inc. Accelerating System level Verification of SOC Designs with MIPI Interfaces Agenda Overview: MIPI Verification approaches and challenges Acceleration methodology overview and

More information

SystemC Standardization Update Including UVM for SystemC Introduction to the Universal Verification Methodology in SystemC

SystemC Standardization Update Including UVM for SystemC Introduction to the Universal Verification Methodology in SystemC SystemC Standardization Update Including UVM for SystemC Introduction to the Universal Verification Methodology in SystemC Andy Goodrich, Cadence Design Systems Outline Introduction and motivation UVM

More information

Three Steps to Unified SoC Design and Verification by Shabtay Matalon and Mark Peryer, Mentor Graphics

Three Steps to Unified SoC Design and Verification by Shabtay Matalon and Mark Peryer, Mentor Graphics Three Steps to Unified SoC Design and Verification by Shabtay Matalon and Mark Peryer, Mentor Graphics Developing a SoC is a risky business in terms of getting it right considering the technical complexity

More information

Generation of UVM compliant Test Benches for Automotive Systems using IP-XACT with UVM-SystemC and SystemC AMS

Generation of UVM compliant Test Benches for Automotive Systems using IP-XACT with UVM-SystemC and SystemC AMS Generation of UVM compliant Test Benches for Automotive Systems using IP-XACT with UVM-SystemC and SystemC AMS Ronan LUCAS (Magillem) Philippe CUENOT (Continental) Accellera Systems Initiative 1 Agenda

More information

Shortest path to the lab. Real-world verification. Probes provide observability

Shortest path to the lab. Real-world verification. Probes provide observability OVM/UVM for FPGAs: The End of Burn and Churn FPGA Verification by In-Circuit Test Burn and churn based on at-speed test with real input 2 Shortest path to the lab Nominal simulation of RTL blocks Relatively

More information

Universal Verification Methodology (UVM) 10:05am 10:45am Sharon Rosenberg UVM Concepts and Architecture

Universal Verification Methodology (UVM) 10:05am 10:45am Sharon Rosenberg UVM Concepts and Architecture Universal Verification Methodology (UVM) Verifying Blocks to IP to SOCs and Systems Organizers: Dennis Brophy Stan Krolikoski Yatin Trivedi San Diego, CA June 5, 2011 Workshop Outline 10:00am 10:05am Dennis

More information

IOT is IOMSLPT for Verification Engineers

IOT is IOMSLPT for Verification Engineers IOT is IOMSLPT for Verification Engineers Adam Sherer, Product Management Group Director TVS DVClub Bristol, Cambridge, Grenoble, and worldwide 12 September 2017 IOT = Internet of Mixed-Signal Low Power

More information

Practical Experience in Automatic Functional Coverage Convergence and Reusable Collection Infrastructure in UVM

Practical Experience in Automatic Functional Coverage Convergence and Reusable Collection Infrastructure in UVM Practical Experience in Automatic Functional Coverage Convergence and Reusable Collection Infrastructure in UVM Roman Wang roman.wang@amd.com Suresh Babu & Mike Bartley sureshbabu.p@testandverification.com

More information

Making it Easy to Deploy the UVM by Dr. Christoph Sühnel, frobas GmbH

Making it Easy to Deploy the UVM by Dr. Christoph Sühnel, frobas GmbH Making it Easy to Deploy the UVM by Dr. Christoph Sühnel, frobas GmbH Abstract The Universal Verification Methodology (UVM) is becoming the dominant approach for the verification of large digital designs.

More information

Accellera Systems Initiative SystemC Standards Update

Accellera Systems Initiative SystemC Standards Update Accellera Systems Initiative SystemC Standards Update Bishnupriya Bhattacharya DVCon India, September 10, 2015 Accellera Systems Initiative Presentation Overview Accellera Overview Membership list How

More information

Test and Verification Solutions. ARM Based SOC Design and Verification

Test and Verification Solutions. ARM Based SOC Design and Verification Test and Verification Solutions ARM Based SOC Design and Verification 7 July 2008 1 7 July 2008 14 March 2 Agenda System Verification Challenges ARM SoC DV Methodology ARM SoC Test bench Construction Conclusion

More information

Universal Verification Methodology (UVM) Module 5

Universal Verification Methodology (UVM) Module 5 Universal Verification Methodology (UVM) Module 5 Venky Kottapalli Prof. Michael Quinn Spring 2017 Agenda Assertions CPU Monitor System Bus Monitor (UVC) Scoreboard: Cache Reference Model Virtual Sequencer

More information

A UVM-based AES IP Verification Platform with Automatic Testcases Generation

A UVM-based AES IP Verification Platform with Automatic Testcases Generation Advances in Engineering Research (AER), volume 82 2016 International Conference on Engineering and Advanced Technology (ICEAT-16) A UVM-based AES IP Verification Platform with Automatic Testcases Generation

More information

OVM/UVM Update. Universal Verification Methodology. Open Verification Methodology. Tom Fitzpatrick Verification Technologist Mentor Graphics Corp.

OVM/UVM Update. Universal Verification Methodology. Open Verification Methodology. Tom Fitzpatrick Verification Technologist Mentor Graphics Corp. Open Verification Methodology Universal Verification Methodology OVM/UVM Update Tom Fitzpatrick Verification Technologist Mentor Graphics Corp. Sharon Rosenberg Solutions Architect Cadence Design Systems

More information

Fast Track to Productivity Using Questa Verification IP by David Aerne and Ankur Jain, Verification Technologists, Mentor Graphics

Fast Track to Productivity Using Questa Verification IP by David Aerne and Ankur Jain, Verification Technologists, Mentor Graphics Fast Track to Productivity Using Questa Verification IP by David Aerne and Ankur Jain, Verification Technologists, Mentor Graphics ABSTRACT The challenges inherent in verifying today s complex designs

More information

EasyChair Preprint. Getting started on Co-Emulation: Why and How to Transition your Design and UVM Testbench to an Emulator

EasyChair Preprint. Getting started on Co-Emulation: Why and How to Transition your Design and UVM Testbench to an Emulator EasyChair Preprint 614 Getting started on Co-Emulation: Why and How to Transition your Design and UVM Testbench to an Emulator Jigar Savla EasyChair preprints are intended for rapid dissemination of research

More information

UVM: The Next Generation in Verification Methodology

UVM: The Next Generation in Verification Methodology UVM: The Next Generation in Verification Methodology Mark Glasser, Methodology Architect February 4, 2011 UVM is a new verification methodology that was developed by the verification community for the

More information

Veloce2 the Enterprise Verification Platform. Simon Chen Emulation Business Development Director Mentor Graphics

Veloce2 the Enterprise Verification Platform. Simon Chen Emulation Business Development Director Mentor Graphics Veloce2 the Enterprise Verification Platform Simon Chen Emulation Business Development Director Mentor Graphics Agenda Emulation Use Modes Veloce Overview ARM case study Conclusion 2 Veloce Emulation Use

More information

Modeling Performance Use Cases with Traffic Profiles Over ARM AMBA Interfaces

Modeling Performance Use Cases with Traffic Profiles Over ARM AMBA Interfaces Modeling Performance Use Cases with Traffic Profiles Over ARM AMBA Interfaces Li Chen, Staff AE Cadence China Agenda Performance Challenges Current Approaches Traffic Profiles Intro Traffic Profiles Implementation

More information

Advancing system-level verification using UVM in SystemC

Advancing system-level verification using UVM in SystemC Advancing system-level verification using UVM in SystemC Martin Barnasconi, NXP Semiconductors François Pêcheux, University Pierre and Marie Curie Thilo Vörtler, Fraunhofer IIS/EAS Outline Introduction

More information

Pre-Silicon Host-based Unit Testing of Driver Software using SystemC Models

Pre-Silicon Host-based Unit Testing of Driver Software using SystemC Models Pre-Silicon Host-based Unit Testing of Driver Software using SystemC Models Aravinda Thimmapuram Somarka Chakravarti Tamal Saha Rathina Thalaiappan Accellera Systems Initiative 1 Agenda Introduction Problem

More information

Integrate Ethernet QVIP in a Few Hours: an A-to-Z Guide by Prashant Dixit, Questa VIP Product Team, Mentor Graphics

Integrate Ethernet QVIP in a Few Hours: an A-to-Z Guide by Prashant Dixit, Questa VIP Product Team, Mentor Graphics Integrate Ethernet QVIP in a Few Hours: an A-to-Z Guide by Prashant Dixit, Questa VIP Product Team, Mentor Graphics ABSTRACT Functional verification is critical in the development of today s complex digital

More information

UVM Ready: Transitioning Mixed-Signal Verification Environments to Universal Verification Methodology

UVM Ready: Transitioning Mixed-Signal Verification Environments to Universal Verification Methodology UVM Ready: Transitioning Mixed-Signal Verification Environments to Universal Verification Methodology Arthur Freitas, Freescale Semiconductor, Inc., Analog & Sensors, Toulouse, France (arthur.freitas@freescale.com)

More information

Stacking UVCs Methodology. Revision 1.2

Stacking UVCs Methodology. Revision 1.2 Methodology Revision 1.2 Table of Contents 1 Stacking UVCs Overview... 3 2 References... 3 3 Terms, Definitions, and Abbreviations... 3 4 Stacking UVCs Motivation... 4 5 What is a Stacked UVC... 6 5.1

More information

UVM Ready: Transitioning Mixed-Signal Verification Environments to Universal Verification Methodology

UVM Ready: Transitioning Mixed-Signal Verification Environments to Universal Verification Methodology UVM Ready: Transitioning Mixed-Signal Verification Environments to Universal Verification Methodology Arthur FREITAS Régis SANTONJA Accellera Systems Initiative 1 Outline Intro Pre-UVM, Module- Based Environment

More information

Slaying the UVM Reuse Dragon Issues and Strategies for Achieving UVM Reuse

Slaying the UVM Reuse Dragon Issues and Strategies for Achieving UVM Reuse Slaying the UVM Reuse Dragon Issues and Strategies for Achieving UVM Reuse Mike Baird WHDL Willamette, OR mike@whdl.com Bob Oden UVM Field Specialist Mentor Graphics Raleigh, NC bob_oden@mentor.com Abstract

More information

Software Driven Verification at SoC Level. Perspec System Verifier Overview

Software Driven Verification at SoC Level. Perspec System Verifier Overview Software Driven Verification at SoC Level Perspec System Verifier Overview June 2015 IP to SoC hardware/software integration and verification flows Cadence methodology and focus Applications (Basic to

More information

Configuring a Date with a Model

Configuring a Date with a Model Configuring a Date with a Model A Guide to Configuration Objects and Register Models Jeff Montesano, Jeff Vance Verilab, Inc. copyright (c) 2016 Verilab & SNUG September 29, 2016 SNUG Austin SNUG 2016

More information

DVCon India 2016 Abstract submission template. Taking UVM to wider user base the open-source way Name: Nagasundaram Thillaivasagam

DVCon India 2016 Abstract submission template. Taking UVM to wider user base the open-source way Name: Nagasundaram Thillaivasagam DVCon India 2016 Abstract submission template TITLE OF PAPER AUTHOR 1 AUTHOR 2 AUTHOR 3 AUTHOR 4 Taking UVM to wider user base the open-source way Name: Nagasundaram Thillaivasagam Email ID: naga@cvcblr.com

More information

Contents 1 Introduction 2 Functional Verification: Challenges and Solutions 3 SystemVerilog Paradigm 4 UVM (Universal Verification Methodology)

Contents 1 Introduction 2 Functional Verification: Challenges and Solutions 3 SystemVerilog Paradigm 4 UVM (Universal Verification Methodology) 1 Introduction............................................... 1 1.1 Functional Design Verification: Current State of Affair......... 2 1.2 Where Are the Bugs?.................................... 3 2 Functional

More information

DEVELOPMENT AND VERIFICATION OF AHB2APB BRIDGE PROTOCOL USING UVM TECHNIQUE

DEVELOPMENT AND VERIFICATION OF AHB2APB BRIDGE PROTOCOL USING UVM TECHNIQUE DEVELOPMENT AND VERIFICATION OF AHB2APB BRIDGE PROTOCOL USING UVM TECHNIQUE N.G.N.PRASAD Assistant Professor K.I.E.T College, Korangi Abstract: The AMBA AHB is for high-performance, high clock frequency

More information

IMPLEMENTATION OF LOW POWER INTERFACE FOR VERIFICATION IP (VIP) OF AXI4 PROTOCOL

IMPLEMENTATION OF LOW POWER INTERFACE FOR VERIFICATION IP (VIP) OF AXI4 PROTOCOL e-issn 2455 1392 Volume 2 Issue 8, August 2016 pp. 1 8 Scientific Journal Impact Factor : 3.468 http://www.ijcter.com IMPLEMENTATION OF LOW POWER INTERFACE FOR VERIFICATION IP (VIP) OF AXI4 PROTOCOL Bhavana

More information

Accelerating RTL Simulation Techniques by Lior Grinzaig, Verification Engineer, Marvell Semiconductor Ltd.

Accelerating RTL Simulation Techniques by Lior Grinzaig, Verification Engineer, Marvell Semiconductor Ltd. Accelerating RTL Simulation Techniques by Lior Grinzaig, Verification Engineer, Marvell Semiconductor Ltd. Long simulation run times are a bottleneck in the verification process. A lengthy delay between

More information

NetSpeed ORION: A New Approach to Design On-chip Interconnects. August 26 th, 2013

NetSpeed ORION: A New Approach to Design On-chip Interconnects. August 26 th, 2013 NetSpeed ORION: A New Approach to Design On-chip Interconnects August 26 th, 2013 INTERCONNECTS BECOMING INCREASINGLY IMPORTANT Growing number of IP cores Average SoCs today have 100+ IPs Mixing and matching

More information

Advanced Verification Topics. Bishnupriya Bhattacharya John Decker Gary Hall Nick Heaton Yaron Kashai Neyaz Khan Zeev Kirshenbaum Efrat Shneydor

Advanced Verification Topics. Bishnupriya Bhattacharya John Decker Gary Hall Nick Heaton Yaron Kashai Neyaz Khan Zeev Kirshenbaum Efrat Shneydor шт Bishnupriya Bhattacharya John Decker Gary Hall Nick Heaton Yaron Kashai Neyaz Khan Zeev Kirshenbaum Efrat Shneydor Preface xv 1 Introduction to Metric-Driven Verification 1 1.1 Introduction 1 1.2 Failing

More information

Boost Verification Results by Bridging the Hardware/Software Testbench Gap

Boost Verification Results by Bridging the Hardware/Software Testbench Gap Boost Verification Results by Bridging the Hardware/Software Testbench Gap Matthew Ballance Mentor Graphics Corporation Design Verification Technology Division Wilsonville, Oregon matt_ballance@mentor.com

More information

Virtual PLATFORMS for complex IP within system context

Virtual PLATFORMS for complex IP within system context Virtual PLATFORMS for complex IP within system context VP Modeling Engineer/Pre-Silicon Platform Acceleration Group (PPA) November, 12th, 2015 Rocco Jonack Legal Notice This presentation is for informational

More information

Practical experience in automatic functional coverage convergence and reusable collection infrastructure in UVM verification

Practical experience in automatic functional coverage convergence and reusable collection infrastructure in UVM verification Practical experience in automatic functional coverage convergence and reusable collection infrastructure in UVM verification Roman Wang, +8613482890029, Advanced Micro Devices, Inc., Shanghai, China (roman.wang@amd.com)

More information

Efficient Verification of Mixed-Signal SerDes IP Using UVM

Efficient Verification of Mixed-Signal SerDes IP Using UVM Efficient Verification of Mixed-Signal SerDes IP Using UVM Varun R, Senior Design Engineer, Cadence Vinayak Hegde, Design Engineering Manager, Cadence IP are an integral part of systems-on-chips (SoC)

More information

An approach to accelerate UVM based verification environment

An approach to accelerate UVM based verification environment An approach to accelerate UVM based verification environment Sachish Dhar DWIVEDI/Ravi Prakash GUPTA Hardware Emulation and Verification Solutions ST Microelectronics Pvt Ltd Outline Challenges in SoC

More information

Easy Steps Towards Virtual Prototyping using the SystemVerilog DPI

Easy Steps Towards Virtual Prototyping using the SystemVerilog DPI Easy Steps Towards Virtual Prototyping using the SystemVerilog DPI Dave Rich Mentor Graphics, Inc. Fremont, CA dave_rich@mentor.com Abstract The hardware and software worlds have been drifting apart ever

More information

CHALLENGES OF TODAY'S COMPLEX SOC: PERFORMANCE VERIFICATION PANKAJ SINGH, MALATHI CHIKKANNA

CHALLENGES OF TODAY'S COMPLEX SOC: PERFORMANCE VERIFICATION PANKAJ SINGH, MALATHI CHIKKANNA CHALLENGES OF TODAY'S COMPLEX SOC: PERFORMANCE VERIFICATION PANKAJ SINGH, MALATHI CHIKKANNA INTRODUCTION Rapid progress in Semiconductor Technology Numerous circuits soldered ona printed circuit board

More information

Efficient use of Virtual Prototypes in HW/SW Development and Verification

Efficient use of Virtual Prototypes in HW/SW Development and Verification Efficient use of Virtual Prototypes in HW/SW Development and Verification Rocco Jonack, MINRES Technologies GmbH Eyck Jentzsch, MINRES Technologies GmbH Accellera Systems Initiative 1 Virtual prototype

More information

System-Level Verification Platform using SystemVerilog Layered Testbench & SystemC OOP

System-Level Verification Platform using SystemVerilog Layered Testbench & SystemC OOP , pp.221-230 http://dx.doi.org/10.14257/ijca.2014.7.2.21 System-Level Verification Platform using SystemVerilog Layered Testbench & SystemC OOP Young-Jin Oh and Gi-Yong Song * Department of Electronics

More information

Mastering Unexpected Situations Safely. Chassis & Safety Vehicle Dynamics

Mastering Unexpected Situations Safely. Chassis & Safety Vehicle Dynamics Mastering Unexpected Situations Safely Chassis & Safety Vehicle Dynamics System Evaluation of UVM-SystemC Coside Usergroup Meeting 18.10.2016 www.continental-corporation.com Division Chassis & Safety Agenda

More information

GENERATION OF GRAPH FOR ETHERNET VERIFICATION USING TREK M.Vinodhini* 1, P.D. Rathika 2, J.U.Nambi 2, V.Kanmani 1

GENERATION OF GRAPH FOR ETHERNET VERIFICATION USING TREK M.Vinodhini* 1, P.D. Rathika 2, J.U.Nambi 2, V.Kanmani 1 ISSN 2277-2685 IJESR/May 2015/ Vol-5/Issue-5/187-193 M. Vinodhini et. al./ International Journal of Engineering & Science Research GENERATION OF GRAPH FOR ETHERNET VERIFICATION USING TREK M.Vinodhini*

More information

OVM to UVM Migration, or There and Back Again: A Consultant s Tale. by Mark Litterick, Verification Consultant, Verilab GmbH

OVM to UVM Migration, or There and Back Again: A Consultant s Tale. by Mark Litterick, Verification Consultant, Verilab GmbH OVM to UVM Migration, or There and Back Again: A Consultant s Tale. by Mark Litterick, Verification Consultant, Verilab GmbH ABSTRACT Many companies have a goal to migrate to UVM but this must be achieved

More information

Development of UVM based Reusabe Verification Environment for SHA-3 Cryptographic Core

Development of UVM based Reusabe Verification Environment for SHA-3 Cryptographic Core Development of UVM based Reusabe Verification Environment for SHA-3 Cryptographic Core M. N. Kubavat Dept. of VLSI & Embedded Systems Design, GTU PG School Gujarat Technological University Ahmedabad, India

More information

Portable Stimulus Driven SystemVerilog/UVM verification environment for the verification of a high-capacity Ethernet communication endpoint

Portable Stimulus Driven SystemVerilog/UVM verification environment for the verification of a high-capacity Ethernet communication endpoint Portable Stimulus Driven SystemVerilog/UVM verification environment for the verification of a high-capacity Ethernet communication endpoint Andrei Vintila, AMIQ Consulting, Bucharest, Romania (andrei.vintila@amiq.com)

More information

SPECMAN-E TESTBENCH. Al. GROSU 1 M. CARP 2

SPECMAN-E TESTBENCH. Al. GROSU 1 M. CARP 2 Bulletin of the Transilvania University of Braşov Vol. 11 (60) No. 1-2018 Series I: Engineering Sciences SPECMAN-E TESTBENCH Al. GROSU 1 M. CARP 2 Abstract: The scope of this document is to present a Verification

More information

UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER CORE

UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER CORE UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER CORE Lakhan Shiva Kamireddy 1 and Lakhan Saiteja K 2 1 Department of Electrical and Computer Engineering, University of Colorado, Boulder,

More information

width: 10, 20 or 40-bit interface maximum number of lanes in any direction

width: 10, 20 or 40-bit interface maximum number of lanes in any direction MIPI LLI Verification using Questa Verification IP by Vaibhav Gupta, Lead Member Technical Staff and Yogesh Chaudhary, Consulting Staff, Mentor Graphics This article describes how incorporating LLI Questa

More information

The Veloce Emulator and its Use for Verification and System Integration of Complex Multi-node SOC Computing System

The Veloce Emulator and its Use for Verification and System Integration of Complex Multi-node SOC Computing System The Veloce Emulator and its Use for Verification and System Integration of Complex Multi-node SOC Computing System Laurent VUILLEMIN Platform Compile Software Manager Emulation Division Agenda What is

More information

The Verification Future needs an Easier UVM

The Verification Future needs an Easier UVM Verification Futures The Verification Future needs an Easier UVM John Aynsley, CTO, Doulos 1 The Verification Future needs an Easier UVM Motivation Introducing Easier UVM Coding Guidelines Code Generation

More information

Using Formalized Programming Sequences for Higher Quality Virtual Prototypes

Using Formalized Programming Sequences for Higher Quality Virtual Prototypes Using Formalized Programming Sequences for Higher Quality Virtual Prototypes Sean Boylan Duolog Technologies Outline Motivation - VSP Quality Programming Sequences Applying Sequences Tools for Sequences

More information

Easy migration between frameworks using UVM Multi- Language (UVM-ML) Dr. Mike Bartley, Test and Verification Solutions

Easy migration between frameworks using UVM Multi- Language (UVM-ML) Dr. Mike Bartley, Test and Verification Solutions Easy migration between frameworks using UVM Multi- Language (UVM-ML) Dr. Mike Bartley, Test and Verification Solutions Agenda The need for UVM-ML UVM-ML : A background TVS Test Environment UVM-ML Use Cases

More information

A New Class Of Registers

A New Class Of Registers A New Class Of s M. Peryer Mentor Graphics (UK) Ltd., Rivergate, London Road, Newbury, Berkshire, RG14 2QB, United Kingdom D. Aerne Mentor Graphics Corp., 8005 SW Boeckman Road, Wilsonville, OR USA 97070-7777

More information

UVM Rapid Adoption: A Practical Subset of UVM. Stuart Sutherland, Sutherland-HDL, Inc. Tom Fitzpatrick, Mentor Graphics Corp.

UVM Rapid Adoption: A Practical Subset of UVM. Stuart Sutherland, Sutherland-HDL, Inc. Tom Fitzpatrick, Mentor Graphics Corp. UVM Rapid Adoption: A Practical Subset of UVM Stuart Sutherland, Sutherland-HDL, Inc. Tom Fitzpatrick, Mentor Graphics Corp. The Problem The UVM 1.2 Library has 357 classes, 938 functions, 99 tasks, and

More information

Applying Design Patterns to accelerate development of reusable, configurable and portable UVCs. Accellera Systems Initiative 1

Applying Design Patterns to accelerate development of reusable, configurable and portable UVCs. Accellera Systems Initiative 1 Applying Design Patterns to accelerate development of reusable, configurable and portable UVCs. Accellera Systems Initiative 1 About the presenter Paul Kaunds Paul Kaunds is a Verification Consultant at

More information

Formal Contribution towards Coverage Closure. Deepak Pant May 2013

Formal Contribution towards Coverage Closure. Deepak Pant May 2013 Formal Contribution towards Coverage Closure Deepak Pant May 2013 Agenda 1. Incisive Metric Driven Verification 2. Coverage Unreachability App 3. Enriched Metrics Formal Contribution to MDV 4. Summary

More information

A Systematic Approach to Creating Behavioral Models CDNLive, March, 2015 Bob Peruzzi, Joe Medero

A Systematic Approach to Creating Behavioral Models CDNLive, March, 2015 Bob Peruzzi, Joe Medero A Systematic Approach to Creating Behavioral Models CDNLive, March, 2015 Bob Peruzzi, Joe Medero Agenda Introduction Mixed-Signal Systems on Chips Link to White Paper Model accuracy and trade-offs Good

More information

Tackling Verification Challenges with Interconnect Validation Tool

Tackling Verification Challenges with Interconnect Validation Tool Tackling Verification Challenges with Interconnect Validation Tool By Hao Wen and Jianhong Chen, Spreadtrum and Dave Huang, Cadence An interconnect, also referred to as a bus matrix or fabric, serves as

More information

Extending the Power of FPGAs

Extending the Power of FPGAs Extending the Power of FPGAs The Journey has Begun Salil Raje Xilinx Corporate Vice President Software and IP Products Development Agenda The Evolution of FPGAs and FPGA Programming IP-Centric Design with

More information

A comprehensive approach to scalable framework for both vertical and horizontal reuse in UVM verification

A comprehensive approach to scalable framework for both vertical and horizontal reuse in UVM verification comprehensive approach to scalable framework for both vertical and horizontal reuse in UVM verification oman ang 1 1 Sr. Design Verification ngineer, dvanced Micro Devices Inc. Shanghai, China bstract

More information

Samsung and Cadence. Byeong Min, Master of Infrastructure Design Center, System LSI Business, Samsung. The Customer. The Challenge. Business Challenge

Samsung and Cadence. Byeong Min, Master of Infrastructure Design Center, System LSI Business, Samsung. The Customer. The Challenge. Business Challenge Samsung and Cadence Samsung and Cadence implemented a structured approach for the verification of Samsung s mobile application processor Exynos, as the chips grow through 150 million gates. The early results

More information

Perplexing Parameter Permutation Problems? Immunize Your Testbench

Perplexing Parameter Permutation Problems? Immunize Your Testbench Immunize Your Testbench Alex Melikian Paul Marriott Verilab Montreal, Quebec, Canada verilab.com @verilab ABSTRACT RTL parameters are used frequently in designs, especially IPs, in order to increase flexibility

More information

VERIFICATION OF RISC-V PROCESSOR USING UVM TESTBENCH

VERIFICATION OF RISC-V PROCESSOR USING UVM TESTBENCH VERIFICATION OF RISC-V PROCESSOR USING UVM TESTBENCH Chevella Anilkumar 1, K Venkateswarlu 2 1.2 ECE Department, JNTU HYDERABAD(INDIA) ABSTRACT RISC-V (pronounced "risk-five") is a new, open, and completely

More information

Lab #1: Introduction to Design Methodology with FPGAs part 1 (80 pts)

Lab #1: Introduction to Design Methodology with FPGAs part 1 (80 pts) Nate Pihlstrom, npihlstr@uccs.edu Lab #1: Introduction to Design Methodology with FPGAs part 1 (80 pts) Objective The objective of this lab assignment is to introduce and use a methodology for designing

More information

Design, Verification and Emulation of an Island-Based Network Flow Processor

Design, Verification and Emulation of an Island-Based Network Flow Processor Design, Verification and Emulation of an Island-Based Network Flow Processor Ron Swartzentruber CDN Live April 5, 2016 1 2016 NETRONOME SYSTEMS, INC. Problem Statements 1) Design a large-scale 200Gbps

More information

Maximize Vertical Reuse, Building Module to System Verification Environments with UVMe

Maximize Vertical Reuse, Building Module to System Verification Environments with UVMe Maximize Vertical Reuse, Building Module to System Verification Environments with UVMe Horace Chan Brian Vandegriend Deepali Joshi Corey Goss PMC-Sierra PMC-Sierra PMC-Sierra Cadence What is vertical reuse?

More information

Validation Strategies with pre-silicon platforms

Validation Strategies with pre-silicon platforms Validation Strategies with pre-silicon platforms Shantanu Ganguly Synopsys Inc April 10 2014 2014 Synopsys. All rights reserved. 1 Agenda Market Trends Emulation HW Considerations Emulation Scenarios Debug

More information

Slicing Through the UVM's Red Tape A Frustrated User's Survival Guide

Slicing Through the UVM's Red Tape A Frustrated User's Survival Guide Slicing Through the UVM's Red Tape A Frustrated User's Survival Guide Jonathan Bromley Accellera Systems Initiative 1 UVM!= Straitjacket Doesn't cover everything Some key common requirements unsatisfied

More information

NoC Generic Scoreboard VIP by François Cerisier and Mathieu Maisonneuve, Test and Verification Solutions

NoC Generic Scoreboard VIP by François Cerisier and Mathieu Maisonneuve, Test and Verification Solutions NoC Generic Scoreboard VIP by François Cerisier and Mathieu Maisonneuve, Test and Verification Solutions Abstract The increase of SoC complexity with more cores, IPs and other subsystems has led SoC architects

More information

Graph-Based Verification in a UVM Environment

Graph-Based Verification in a UVM Environment Graph-Based Verification in a UVM Environment Staffan Berg European Applications Engineer July 2012 Graph-Based Intelligent Testbench Automation (itba) Welcome DVClub Attendees Organizers Presenters Verification

More information

Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs. August 2015

Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs. August 2015 Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs August 2015 SPMI USB 2.0 SLIMbus RFFE LPDDR 2 LPDDR 3 emmc 4.5 UFS SD 3.0 SD 4.0 UFS Bare Metal Software DSP Software Bare Metal Software

More information

Advancing system-level verification using UVM in SystemC

Advancing system-level verification using UVM in SystemC Abstract Advancing system-level verification using UVM in SystemC Martin Barnasconi, NXP Semiconductors, Eindhoven, The Netherlands (martin.barnasconi@nxp.com) François Pêcheux, University Pierre and Marie

More information

Graph-Based IP Verification in an ARM SoC Environment by Andreas Meyer, Verification Technologist, Mentor Graphics Corporation

Graph-Based IP Verification in an ARM SoC Environment by Andreas Meyer, Verification Technologist, Mentor Graphics Corporation Graph-Based IP Verification in an ARM SoC Environment by Andreas Meyer, Verification Technologist, Mentor Graphics Corporation The use of graph-based verification methods for block designs has been shown

More information

Extending the Power of FPGAs to Software Developers:

Extending the Power of FPGAs to Software Developers: Extending the Power of FPGAs to Software Developers: The Journey has Begun Salil Raje Xilinx Corporate Vice President Software and IP Products Group Page 1 Agenda The Evolution of FPGAs and FPGA Programming

More information

Design and Verification of FPGA Applications

Design and Verification of FPGA Applications Design and Verification of FPGA Applications Giuseppe Ridinò Paola Vallauri MathWorks giuseppe.ridino@mathworks.it paola.vallauri@mathworks.it Torino, 19 Maggio 2016, INAF 2016 The MathWorks, Inc. 1 Agenda

More information

PowerAware RTL Verification of USB 3.0 IPs by Gayathri SN and Badrinath Ramachandra, L&T Technology Services Limited

PowerAware RTL Verification of USB 3.0 IPs by Gayathri SN and Badrinath Ramachandra, L&T Technology Services Limited PowerAware RTL Verification of USB 3.0 IPs by Gayathri SN and Badrinath Ramachandra, L&T Technology Services Limited INTRODUCTION Power management is a major concern throughout the chip design flow from

More information

User Experience with UVM

User Experience with UVM User Experience with UVM Stephen D Onofrio & Peter D Antonio Stacking Verification Components in UVM 2012 The MITRE Corporation. All Rights Reserved. Approved for Public Release: 12-0309 Distribution Unlimited

More information

A Generic UVM Scoreboard by Jacob Andersen, CTO, Kevin Seffensen, Consultant and UVM Specialist, Peter Jensen, Managing Director, SyoSil ApS

A Generic UVM Scoreboard by Jacob Andersen, CTO, Kevin Seffensen, Consultant and UVM Specialist, Peter Jensen, Managing Director, SyoSil ApS A Generic UVM Scoreboard by Jacob Andersen, CTO, Kevin Seffensen, Consultant and UVM Specialist, Peter Jensen, Managing Director, SyoSil ApS All UVM engineers employ scoreboarding for checking DUT/reference

More information

UVM based Verification Environment for Performance Evaluation of DDR4 SDRAM using Memory Controller

UVM based Verification Environment for Performance Evaluation of DDR4 SDRAM using Memory Controller IJSRD National Conference on Advances in Computer Science Engineering & Technology May 2017 ISSN: 2321-0613 UVM based Verification Environment for Performance Evaluation of DDR4 SDRAM using Memory Controller

More information

The How To s of Metric Driven Verification to Maximize Productivity

The How To s of Metric Driven Verification to Maximize Productivity The How To s of Metric Driven Verification to Maximize Productivity Author/Prensenter: Matt Graham Author: John Brennan Cadence Design Systems, Inc. Accellera Systems Initiative 1 The How To s of Metric

More information

Warren Anderson Ravi Ram AMD Vijay Akkaraju Synopsys

Warren Anderson Ravi Ram AMD Vijay Akkaraju Synopsys Universal Verification Methodology (UVM)-based Random Verification through VCS and CustomSim in Analog Mixed-signal Designs for Faster Coverage Closure Warren Anderson Ravi Ram AMD Vijay Akkaraju Synopsys

More information