Hera-B DAQ System and its self-healing abilities

Size: px
Start display at page:

Download "Hera-B DAQ System and its self-healing abilities"

Transcription

1 Hera-B DAQ System and its self-healing abilities V.Rybnikov, DESY, Hamburg 1. HERA-B experiment 2. DAQ architecture Read-out Self-healing tools Switch SLT nodes isolation 3. Run control system 4. Self-healing tools (software) Releasing resources Process recovery 5 May 2003 V.Rybnikov, DESY 1

2 HERA-B experiment (sub-detectors) 5 May 2003 V.Rybnikov, DESY 2

3 DAQ architecture Event Rate 10 MHz DATA volume 50 KHz < Gb/s ~1100 SHARC nodes 500 Hz MB/s ~ 2000 processes on ~ 1500 nodes LOGGING NODES (3) 240 SLT nodes 100 x 2 4LT CPUs critical points 50 Hz MB/s 5 May 2003 V.Rybnikov, DESY 3

4 DAQ architecture (SHARC board) 6U VME card (MSC, Stutensee, Germany) 6 ADSP (Analog Devices), 40 MHz ADSP chip holds 512 KB on-chip memory global memory bus (240 MB/s in 48bit words) external memory 256K x DMA controllers / chip 6 for 4 bit parallel links (40 MB/s) 4 for global memory communication VME interface to write/read ADSP and global memory SWITCH SLBs Event Controller FCS interface May 2003 V.Rybnikov, DESY 4

5 DAQ architecture (read-out) Total : ~2070 FEDs ( channels) Push-down system No missing clock allowed No hardware recovery ADC DIGITAL PIPELINE TDC ADC DIGITAL PIPELINE TDC ADC DIGITAL PIPELINE TDC ADC DIGITAL PIPELINE TDC ADC DIGITAL PIPELINE TDC ADC DIGITAL PIPELINE TDC OUTPUT FIFO OUTPUT FIFO OUTPUT FIFO OUTPUT FIFO OUTPUT FIFO OUTPUT FIFO OUTPUT FIFO OUTPUT FIFO OUTPUT FIFO CONTROL LOGIC CONTROL LOGIC CONTROL LOGIC CONTROL LOGIC CONTROL LOGIC SHARC INTERFACE SHARC INTERFACE SHARC INTERFACE SHARC INTERFACE SHARC INTERFACE SHARC INTERFACE SHARC INTERFACE SHARC INTERFACE SHARC INTERFACE SHARC INTERFACE MHz 0,5 60 m PIGGYBACK PIGGYBACK SHARC board 5 May 2003 V.Rybnikov, DESY 5

6 Self-healing tools (read-out recovery) FED error threshold min period between consecutive recoveries max number of consecutive recoveries FED expert ACTION common monitor monitor ITR monitor SVD Monitors check event header information for every FED w.r.t. errors DATA STREAM 5 May 2003 V.Rybnikov, DESY 6

7 Self-healing tools (read-out recovery) ACTION stop triggers reset FEDs Re-chain (initialize) event buffers and Event Controller start triggers Action takes < 5 sec Run re-initialization ~ 2 min Run re-start ~ 8-10 min 5 May 2003 V.Rybnikov, DESY 7

8 DAQ architecture (switch) from FEDs Routing tables server reads the switch connection data base creates routing tables in memory pushes down the tables into every SHARC node after the boot-up SHARC to PCI interface boards are used to connect Second Level PCs to the SWICTH 5 May 2003 V.Rybnikov, DESY 8

9 Self-healing tools (SLT nodes isolation) from FEDS 10 Problem: Accumulating messages addressed to a dead node (process) blocks the switch 12 Distributor tasks: to send calibration constants to all Second Level Trigger (SLT) nodes to check status of the SLT DISTRIBUTOR nodes (processes) via ping-pong messages 5 May 2003 V.Rybnikov, DESY 9

10 Self-healing tools (SLT nodes isolation) routing table server distributor routing information SLT process died terminate SLT process SLT process expert SLT process SLT process died process server change routing interconnections ping-pong 5 May 2003 V.Rybnikov, DESY 10

11 Run control system BASICS the process information for all runs is stored in the DAQ data base list of processes how to start them (args, env, etc) where to start them etc. all the processes are started remotely by means of process servers and managers clean-up of shared resources (shared mem, semaphores, etc) carried out during the startup and stop procedures 5 May 2003 V.Rybnikov, DESY 11

12 Run control system (process service) Features Process creation and termination on any ONLINE machine Process status monitoring and notification about its change Monitoring the node resources utilization (CPU, memory, etc) proserv commands Implementation proserv interface start interface process server start stop kill inetd 5 May 2003 V.Rybnikov, DESY 12

13 Run control system (process management) SYSTEM Process Managers Data Taking Slow Control Standalone Test Reprocessing MC Run Watch is the very first process for every run Boot up procedure supporters global processes Component FARM processes Data Base 5 May 2003 V.Rybnikov, DESY 13

14 Run control system (DAQ data base) process configuration process template 5 May 2003 V.Rybnikov, DESY 14

15 Run control system (run boot-up) Run Control GUI SYSTEM Process Manager global processes Run Watch checking process servers on all machines restarting them if required freeing resources by launching fini scripts Process manager COMP 1 Process manager COMP N comp 1 processes comp N processes 5 May 2003 V.Rybnikov, DESY 15

16 Self-healing tools (process recovery) Process Manager restart forget Yes No Prosess server reports on process termination Checks processes Can be restarted? No Critical? Yes 5 May 2003 V.Rybnikov, DESY 16

17 Conclusions HERA-B is a big complex experiment developed and built up by hundreds of scientists, engineers and technicians. The major developments are complete. Problems effecting data taking efficiency are being fixed by introducing self-healing tools. 5 May 2003 V.Rybnikov, DESY 17

18 Appendix (ONLINE expert tools) 5 May 2003 V.Rybnikov, DESY 18

19 Switch performance 5 May 2003 V.Rybnikov, DESY 19

20 Switch performance 5 May 2003 V.Rybnikov, DESY 20

21 Switch routing 5 May 2003 V.Rybnikov, DESY 21

A programming environment to control switching. networks based on STC104 packet routing chip 1

A programming environment to control switching. networks based on STC104 packet routing chip 1 A programming environment to control switching networks based on STC104 packet routing chip 1 I.C. Legrand 2, U. Schwendicke, H. Leich, M. Medinnis, A. Koehler, P. Wegner, K. Sulanke, R. Dippel, A. Gellrich

More information

50GeV KEK IPNS. J-PARC Target R&D sub gr. KEK Electronics/Online gr. Contents. Read-out module Front-end

50GeV KEK IPNS. J-PARC Target R&D sub gr. KEK Electronics/Online gr. Contents. Read-out module Front-end 50GeV Contents Read-out module Front-end KEK IPNS J-PARC Target R&D sub gr. KEK Electronics/Online gr. / Current digitizer VME scalar Advanet ADVME2706 (64ch scanning )? Analog multiplexer Yokogawa WE7271(4ch

More information

The MROD. The MDT Precision Chambers ROD. Adriaan König University of Nijmegen. 5 October nd ATLAS ROD Workshop 1

The MROD. The MDT Precision Chambers ROD. Adriaan König University of Nijmegen. 5 October nd ATLAS ROD Workshop 1 The MROD The MDT Precision Chambers ROD Adriaan König University of Nijmegen 5 October 2000 2nd ATLAS ROD Workshop 1 Contents System Overview MROD-0 Prototype MROD-1 Prototype Performance Study FE Parameter

More information

ROB IN Performance Measurements

ROB IN Performance Measurements ROB IN Performance Measurements I. Mandjavidze CEA Saclay, 91191 Gif-sur-Yvette CEDEX, France ROB Complex Hardware Organisation Mode of Operation ROB Complex Software Organisation Performance Measurements

More information

EE 457 Unit 7b. Main Memory Organization

EE 457 Unit 7b. Main Memory Organization 1 EE 457 Unit 7b Main Memory Organization 2 Motivation Organize main memory to Facilitate byte-addressability while maintaining Efficient fetching of the words in a cache block Low order interleaving (L.O.I)

More information

USB3DevIP Data Recorder by FAT32 Design Rev Mar-15

USB3DevIP Data Recorder by FAT32 Design Rev Mar-15 1 Introduction USB3DevIP Data Recorder by FAT32 Design Rev1.1 13-Mar-15 Figure 1 FAT32 Data Recorder Hardware on CycloneVE board The demo system implements USB3 Device IP to be USB3 Mass storage device

More information

Stefan Koestner on behalf of the LHCb Online Group ( IEEE - Nuclear Science Symposium San Diego, Oct.

Stefan Koestner on behalf of the LHCb Online Group (  IEEE - Nuclear Science Symposium San Diego, Oct. Stefan Koestner on behalf of the LHCb Online Group (email: Stefan.Koestner@cern.ch) IEEE - Nuclear Science Symposium San Diego, Oct. 31 st 2006 Dedicated to B-physics : single arm forward spectrometer

More information

A THESIS SUBMITTED TO THE GRADUATE DIVISION OF THE UNIVERSITY OF HAWAI I IN PARTIAL FULFILLMENT OF THE REQUIREMENTS FOR THE DEGREE OF

A THESIS SUBMITTED TO THE GRADUATE DIVISION OF THE UNIVERSITY OF HAWAI I IN PARTIAL FULFILLMENT OF THE REQUIREMENTS FOR THE DEGREE OF USING A PCI SCHEDULER AND A DYNAMIC THRESHOLD TO ENHANCE A HIGH SPEED READOUT SYSTEM A THESIS SUBMITTED TO THE GRADUATE DIVISION OF THE UNIVERSITY OF HAWAI I IN PARTIAL FULFILLMENT OF THE REQUIREMENTS

More information

VUV FEL User Workshop 2005

VUV FEL User Workshop 2005 VUV FEL User Workshop 2005 Data Acquisition and DOOCS for VUV-FEL experiments Vladimir Rybnikov DESY 15. 11. 2005 1 Contents DOOCS control system Data AcQuisition System Integration to DAQ Data types Synchronization

More information

The Fourth Level Trigger Online Reconstruction Farm of HERA-B 1

The Fourth Level Trigger Online Reconstruction Farm of HERA-B 1 The Fourth Level Trigger Online Reconstruction Farm of HERA-B Introduction A. Gellrich 2, I.C. Legrand, H. Leich, U. Schwanke, F. Sun, P. Wegner DESY Zeuthen, D-5738 Zeuthen, Germany S. Scharein Humboldt-University,

More information

Homework 9: Software Design Considerations

Homework 9: Software Design Considerations Homework 9: Software Design Considerations Team Code Name: Mind Readers Group No. 2 Team Member Completing This Homework: Richard Schuman E-mail Address of Team Member: _rschuman_ @ purdue.edu Evaluation:

More information

Dominique Gigi CMS/DAQ. Siena 4th October 2006

Dominique Gigi CMS/DAQ. Siena 4th October 2006 . CMS/DAQ overview. Environment. FRL-Slink (Front-End Readout Link) - Boards - Features - Protocol with NIC & results - Production.FMM (Fast Monitoring Module) -Requirements -Implementation -Features -Production.Conclusions

More information

Trigger and Data Acquisition at the Large Hadron Collider

Trigger and Data Acquisition at the Large Hadron Collider Trigger and Data Acquisition at the Large Hadron Collider Acknowledgments (again) This overview talk would not exist without the help of many colleagues and all the material available online I wish to

More information

Features. RoHS COMPLIANT 2002/95/EC

Features. RoHS COMPLIANT 2002/95/EC PCIE-1730 32-ch TTL and 32-ch Isolated Digital I/O PCI Express Card 32-ch isolated DI/O (16-ch digital input, 16-ch digital output) 32-ch TTL DI/O (16-ch digital input,16-ch digital output) High output

More information

Development of a PCI Based Data Acquisition Platform for High Intensity Accelerator Experiments

Development of a PCI Based Data Acquisition Platform for High Intensity Accelerator Experiments Development of a PCI Based Data Acquisition Platform for High Intensity Accelerator Experiments T. Higuchi, H. Fujii, M. Ikeno, Y. Igarashi, E. Inoue, R. Itoh, H. Kodama, T. Murakami, M. Nakao, K. Nakayoshi,

More information

Update on PRad GEMs, Readout Electronics & DAQ

Update on PRad GEMs, Readout Electronics & DAQ Update on PRad GEMs, Readout Electronics & DAQ Kondo Gnanvo University of Virginia, Charlottesville, VA Outline PRad GEMs update Upgrade of SRS electronics Integration into JLab DAQ system Cosmic tests

More information

Introduction to TDC-II and Address Map

Introduction to TDC-II and Address Map Introduction to TDC-II and Address Map Mircea Bogdan (UC) MB, 9/8/04 1 TIME-TO-DIGITAL TIME-TO-DIGITAL CONVERSION: 1.2ns sampling rate serdes_in ~ 20 ns LVDS pulse in the simulation window of QuartusII

More information

AVR XMEGA Product Line Introduction AVR XMEGA TM. Product Introduction.

AVR XMEGA Product Line Introduction AVR XMEGA TM. Product Introduction. AVR XMEGA TM Product Introduction 32-bit AVR UC3 AVR Flash Microcontrollers The highest performance AVR in the world 8/16-bit AVR XMEGA Peripheral Performance 8-bit megaavr The world s most successful

More information

SMT943 APPLICATION NOTE 1 APPLICATION NOTE 1. Application Note - SMT372T and SMT943.doc SMT943 SUNDANCE MULTIPROCESSOR TECHNOLOGY LTD.

SMT943 APPLICATION NOTE 1 APPLICATION NOTE 1. Application Note - SMT372T and SMT943.doc SMT943 SUNDANCE MULTIPROCESSOR TECHNOLOGY LTD. APPLICATION NOTE 1 Application Note - SMT372T + SMT943 SMT943 SUNDANCE MULTIPROCESSOR TECHNOLOGY LTD. Date Comments / Changes Author Revision 07/07/10 Original Document completed CHG 1 Date 13/05/2010

More information

Introduction to Microprocessor

Introduction to Microprocessor Introduction to Microprocessor Slide 1 Microprocessor A microprocessor is a multipurpose, programmable, clock-driven, register-based electronic device That reads binary instructions from a storage device

More information

REAL TIME DIGITAL SIGNAL PROCESSING

REAL TIME DIGITAL SIGNAL PROCESSING REAL TIME DIGITAL SIGNAL PROCESSING UTN - FRBA 2011 www.electron.frba.utn.edu.ar/dplab Introduction Why Digital? A brief comparison with analog. Advantages Flexibility. Easily modifiable and upgradeable.

More information

New Development of EPICS-based Data Acquisition System for Millimeter-wave Interferometer in KSTAR Tokamak

New Development of EPICS-based Data Acquisition System for Millimeter-wave Interferometer in KSTAR Tokamak October 10-14, 2011 Grenoble, France New Development of EPICS-based Data Acquisition System for Millimeter-wave Interferometer in KSTAR Tokamak October 11, 2011, Taegu Lee KSTAR Research Center 2 Outlines

More information

Detector Data Acquisition Hardware Designs and Features of NGC (New General Detector Controller)

Detector Data Acquisition Hardware Designs and Features of NGC (New General Detector Controller) Detector Data Acquisition Hardware Designs and Features of NGC (New General Detector Controller) Manfred Meyer, Gert Finger European Organisation for Astronomical Research in the Southern Hemisphere, Karl-Schwarzschild-Str.

More information

Token Bit Manager for the CMS Pixel Readout

Token Bit Manager for the CMS Pixel Readout Token Bit Manager for the CMS Pixel Readout Edward Bartz Rutgers University Pixel 2002 International Workshop September 9, 2002 slide 1 TBM Overview Orchestrate the Readout of Several Pixel Chips on a

More information

FPGA FIRMWARE FRAMEWORK FOR MTCA.4 AMC MODULES*

FPGA FIRMWARE FRAMEWORK FOR MTCA.4 AMC MODULES* FPGA FIRMWARE FRAMEWORK FOR MTCA.4 AMC MODULES* Lukasz Butkowski, Tomasz Kozak, Bin Yang, DESY, Hamburg, Germany Paweł Prędki, DMCS, Lodz University of Technology, Lodz, Poland Radoslaw Rybaniec, ISE,

More information

High-Performance 32-bit

High-Performance 32-bit High-Performance 32-bit Microcontroller with Built-in 11-Channel Serial Interface and Two High-Speed A/D Converter Units A 32-bit microcontroller optimal for digital home appliances that integrates various

More information

Infineon C167CR microcontroller, 256 kb external. RAM and 256 kb external (Flash) EEPROM. - Small single-board computer (SBC) with an

Infineon C167CR microcontroller, 256 kb external. RAM and 256 kb external (Flash) EEPROM. - Small single-board computer (SBC) with an Microcontroller Basics MP2-1 week lecture topics 2 Microcontroller basics - Clock generation, PLL - Address space, addressing modes - Central Processing Unit (CPU) - General Purpose Input/Output (GPIO)

More information

Electronics on the detector Mechanical constraints: Fixing the module on the PM base.

Electronics on the detector Mechanical constraints: Fixing the module on the PM base. PID meeting Mechanical implementation ti Electronics architecture SNATS upgrade proposal Christophe Beigbeder PID meeting 1 Electronics is split in two parts : - one directly mounted on the PM base receiving

More information

TOF Electronics. J. Schambach University of Texas Review, BNL, 2 Aug 2007

TOF Electronics. J. Schambach University of Texas Review, BNL, 2 Aug 2007 TOF Electronics J. Schambach University of Texas Review, BNL, 2 Aug 2007 1 Outline Electronics Overview Trigger & DAQ Interfaces Board Status, Tests & Plans 2 Electronics for One Side 3 Tray Level Electronics

More information

Prototyping NGC. First Light. PICNIC Array Image of ESO Messenger Front Page

Prototyping NGC. First Light. PICNIC Array Image of ESO Messenger Front Page Prototyping NGC First Light PICNIC Array Image of ESO Messenger Front Page Introduction and Key Points Constructed is a modular system with : A Back-End as 64 Bit PCI Master/Slave Interface A basic Front-end

More information

Data Acquisition in Particle Physics Experiments. Ing. Giuseppe De Robertis INFN Sez. Di Bari

Data Acquisition in Particle Physics Experiments. Ing. Giuseppe De Robertis INFN Sez. Di Bari Data Acquisition in Particle Physics Experiments Ing. Giuseppe De Robertis INFN Sez. Di Bari Outline DAQ systems Theory of operation Case of a large experiment (CMS) Example of readout GEM detectors for

More information

Heavy Photon Search Data Acquisition

Heavy Photon Search Data Acquisition Heavy Photon Search Data Acquisition Presented by Ryan Herbst PPA Engineering 5/25/2011 1 Overview Data Output & Control 1GigE Read Out Board Ethernet Switch Processor Blade Trigger Board ATCA Crate RTM

More information

Scintillator-strip Plane Electronics

Scintillator-strip Plane Electronics Scintillator-strip Plane Electronics Mani Tripathi Britt Holbrook (Engineer) Juan Lizarazo (Grad student) Peter Marleau (Grad student) Tiffany Landry (Junior Specialist) Cherie Williams (Undergrad student)

More information

Readout Systems. Liquid Argon TPC Analog multiplexed ASICs SiPM arrays. CAEN 2016 / 2017 Product Catalog

Readout Systems. Liquid Argon TPC Analog multiplexed ASICs SiPM arrays. CAEN 2016 / 2017 Product Catalog Readout Systems Liquid Argon TPC Analog multiplexed ASICs SiPM arrays CAEN 2016 / 2017 Product Catalog 192 Readout Systems SY2791 Liquid Argon TPC Readout System The SY2791 is a complete detector readout

More information

registers data 1 registers MEMORY ADDRESS on-chip cache off-chip cache main memory: real address space part of virtual addr. sp.

registers data 1 registers MEMORY ADDRESS on-chip cache off-chip cache main memory: real address space part of virtual addr. sp. Cache associativity Cache and performance 12 1 CMPE110 Spring 2005 A. Di Blas 110 Spring 2005 CMPE Cache Direct-mapped cache Reads and writes Textbook Edition: 7.1 to 7.3 Second Third Edition: 7.1 to 7.3

More information

6 February 1999 R. D. Martin, Level 2 Review 2

6 February 1999 R. D. Martin, Level 2 Review 2 Robert D. Martin University of Illinois at Chicago 6 February 1999 Fast CPU Event processing within 100 µs VME Interface Communication with TCC Upload of Events to L3 via VBD VME interrupts enabled MBus

More information

Velo readout board RB3. Common L1 board (ROB)

Velo readout board RB3. Common L1 board (ROB) Velo readout board RB3 Testing... Common L1 board (ROB) Specifying Federica Legger 10 February 2003 1 Summary LHCb Detectors Online (Trigger, DAQ) VELO (detector and Readout chain) L1 electronics for VELO

More information

D Demonstration of disturbance recording functions for PQ monitoring

D Demonstration of disturbance recording functions for PQ monitoring D6.3.7. Demonstration of disturbance recording functions for PQ monitoring Final Report March, 2013 M.Sc. Bashir Ahmed Siddiqui Dr. Pertti Pakonen 1. Introduction The OMAP-L138 C6-Integra DSP+ARM processor

More information

The ASDEX Upgrade UTDC and DIO cards - A family of PCI/cPCI devices for Real-Time DAQ under Solaris

The ASDEX Upgrade UTDC and DIO cards - A family of PCI/cPCI devices for Real-Time DAQ under Solaris The ASDEX Upgrade UTDC and DIO cards - A family of PCI/cPCI devices for Real-Time DAQ under Solaris A. Lohs a, K. Behler a,*, G. Raupp, Unlimited Computer Systems b, ASDEX Upgrade Team a a Max-Planck-Institut

More information

Taking Advantage of Using the dmax DMA Engine in Conjunction with the McASP Peripheral on the TMS320C67x DSP

Taking Advantage of Using the dmax DMA Engine in Conjunction with the McASP Peripheral on the TMS320C67x DSP 01001000100000110000001000001100 010010001000 Taking Advantage of Using the dmax DMA Engine in Conjunction with the McASP Peripheral on the TMS30C67x DSP SPRP498 Name: Gaganjot Singh Maur Title: Application

More information

2008 JINST 3 S Online System. Chapter System decomposition and architecture. 8.2 Data Acquisition System

2008 JINST 3 S Online System. Chapter System decomposition and architecture. 8.2 Data Acquisition System Chapter 8 Online System The task of the Online system is to ensure the transfer of data from the front-end electronics to permanent storage under known and controlled conditions. This includes not only

More information

Lesson 6 Intel Galileo and Edison Prototype Development Platforms. Chapter-8 L06: "Internet of Things ", Raj Kamal, Publs.: McGraw-Hill Education

Lesson 6 Intel Galileo and Edison Prototype Development Platforms. Chapter-8 L06: Internet of Things , Raj Kamal, Publs.: McGraw-Hill Education Lesson 6 Intel Galileo and Edison Prototype Development Platforms 1 Intel Galileo Gen 2 Boards Based on the Intel Pentium architecture Includes features of single threaded, single core and 400 MHz constant

More information

TAG Word 0 Word 1 Word 2 Word 3 0x0A0 D2 55 C7 C8 0x0A0 FC FA AC C7 0x0A0 A5 A6 FF 00

TAG Word 0 Word 1 Word 2 Word 3 0x0A0 D2 55 C7 C8 0x0A0 FC FA AC C7 0x0A0 A5 A6 FF 00 ELE 758 Final Examination 2000: Answers and solutions Number of hits = 15 Miss rate = 25 % Miss rate = [5 (misses) / 20 (total memory references)]* 100% = 25% Show the final content of cache using the

More information

Presentation Outline. Data Concentrator Card for ECAL. ECAL Data Volume and Raw Data generation. DCC Conceptual Design

Presentation Outline. Data Concentrator Card for ECAL. ECAL Data Volume and Raw Data generation. DCC Conceptual Design Data Concentrator Card for ECAL Presentation Outline ECAL Data Volume and Raw Data generation DCC Conceptual Design Modeling and Simulation of the Hardware DCC TEAM DCC Requirements João Varela ECAL Raw

More information

System Installation. 3-1 Socket 370 Celeron Processor CHAPTER 3

System Installation. 3-1 Socket 370 Celeron Processor CHAPTER 3 CHAPTER 3 System Installation This chapter provides you with instructions to set up your system. The additional information is enclosed to help you install M-system Flash disk, set up LCD display and handle

More information

Vertex Detector Electronics: ODE to ECS Interface

Vertex Detector Electronics: ODE to ECS Interface Vertex Detector Electronics: ODE to ECS Interface LHCb Technical Note Issue: 1 Revision: 0 Reference: LHCb 2000-012 VELO Created: 1 February 2000 Last modified: 20 March 2000 Prepared By: Yuri Ermoline

More information

Technical Information Manual

Technical Information Manual Technical Information Manual Revision n. 3 28 August 2002 MOD. V550 / V550 B MOD. V550 A / V550 AB 2 CHANNEL C-RAMS CAEN will repair or replace any product within the guarantee period if the Guarantor

More information

Efficient Data Transfers

Efficient Data Transfers Efficient Data fers Slide credit: Slides adapted from David Kirk/NVIDIA and Wen-mei W. Hwu, 2007-2016 PCIE Review Typical Structure of a CUDA Program Global variables declaration Function prototypes global

More information

New Software-Designed Instruments

New Software-Designed Instruments 1 New Software-Designed Instruments Nicholas Haripersad Field Applications Engineer National Instruments South Africa Agenda What Is a Software-Designed Instrument? Why Software-Designed Instrumentation?

More information

PC-based data acquisition I

PC-based data acquisition I FYS3240 PC-based instrumentation and microcontrollers PC-based data acquisition I Spring 2016 Lecture #8 Bekkeng, 20.01.2016 General-purpose computer With a Personal Computer (PC) we mean a general-purpose

More information

IBM Network Processor, Development Environment and LHCb Software

IBM Network Processor, Development Environment and LHCb Software IBM Network Processor, Development Environment and LHCb Software LHCb Readout Unit Internal Review July 24 th 2001 Niko Neufeld, CERN 1 Outline IBM NP4GS3 Architecture A Readout Unit based on the NP4GS3

More information

Architecture of Computers and Parallel Systems Part 2: Communication with Devices

Architecture of Computers and Parallel Systems Part 2: Communication with Devices Architecture of Computers and Parallel Systems Part 2: Communication with Devices Ing. Petr Olivka petr.olivka@vsb.cz Department of Computer Science FEI VSB-TUO Architecture of Computers and Parallel Systems

More information

Product Technical Brief S3C2412 Rev 2.2, Apr. 2006

Product Technical Brief S3C2412 Rev 2.2, Apr. 2006 Product Technical Brief S3C2412 Rev 2.2, Apr. 2006 Overview SAMSUNG's S3C2412 is a Derivative product of S3C2410A. S3C2412 is designed to provide hand-held devices and general applications with cost-effective,

More information

Ultra Low Power Microcontroller - Design Criteria - June 2017

Ultra Low Power Microcontroller - Design Criteria - June 2017 Ultra Low Power Microcontroller - Design Criteria - June 2017 Agenda 1. Low power technology features 2. Intelligent Clock Generator 3. Short wake-up times 4. Intelligent memory access 5. Use case scenario

More information

CS/ECE 217. GPU Architecture and Parallel Programming. Lecture 16: GPU within a computing system

CS/ECE 217. GPU Architecture and Parallel Programming. Lecture 16: GPU within a computing system CS/ECE 217 GPU Architecture and Parallel Programming Lecture 16: GPU within a computing system Objective To understand the major factors that dictate performance when using GPU as an compute co-processor

More information

PCI-express data acquisition card DAQ0504M User Guide

PCI-express data acquisition card DAQ0504M User Guide PCI-express data acquisition card DAQ0504M User Guide Contents Safety information... 3 About this guide... 4 DAQ0504M specifications... 5 Chapter 1. Product introduction 1-1. Package contents...... 6.

More information

On-board PCs for interfacing front-end electronics

On-board PCs for interfacing front-end electronics On-board PCs for interfacing front-end electronics JCOP team meeting April 10, 2002 Niko Neufeld CERN/EP 1 Controlling Boards The traditional approach Ethernet Parallel Bus (VME, Fastbus, ) Control Station

More information

Create Without Limits: Add the Power of User-Programmable FPGAs to Your Test Applications

Create Without Limits: Add the Power of User-Programmable FPGAs to Your Test Applications 1 Create Without Limits: Add the Power of User-Programmable FPGAs to Your Test Applications Farris Alhorr Business Development Manager RF & Wireless Comm farris.alhorr@ The Parameters of Instrumentation

More information

Product Technical Brief S3C2440X Series Rev 2.0, Oct. 2003

Product Technical Brief S3C2440X Series Rev 2.0, Oct. 2003 Product Technical Brief S3C2440X Series Rev 2.0, Oct. 2003 S3C2440X is a derivative product of Samsung s S3C24XXX family of microprocessors for mobile communication market. The S3C2440X s main enhancement

More information

Schematic. A: Overview of the Integrated Detector Readout Electronics and DAQ-System. optical Gbit link. 1GB DDR Ram.

Schematic. A: Overview of the Integrated Detector Readout Electronics and DAQ-System. optical Gbit link. 1GB DDR Ram. A: Overview of the Integrated Detector Readout Electronics and DAQ-System N s CASCADE Detector Frontend (X0) (X) (Y0) (Y) optional: CIPix- Board (T) Optical Gigabit Link CDR.0 FPGA based readout board

More information

TS-C43. The TS-C43 is a quad TigerSHARC DSP PMC. Quad ADSP-TS101S DSP 64-bit PMC Card. Features. 4x 300MHz ADSP-TS101 DSPs

TS-C43. The TS-C43 is a quad TigerSHARC DSP PMC. Quad ADSP-TS101S DSP 64-bit PMC Card. Features.   4x 300MHz ADSP-TS101 DSPs TS-C43 Quad A-TS101S 64-bit PMC Card Features 4x 300MHz A-TS101 s Clustered Architecture 32/64-bit (33/66MHz) PCI Interface Local Xilinx Virtex-II FPGA FPDP, SERDES and Channel Link Digital I/O options

More information

S-LINK: A Prototype of the ATLAS Read-out Link

S-LINK: A Prototype of the ATLAS Read-out Link : A Prototype of the ATLAS Read-out Link Erik van der Bij, Robert McLaren, Zoltán Meggyesi EP-Division CERN, CH-1211 Geneva 23 Abstract The ATLAS data acquisition system needs over 1500 read-out links

More information

CompuScope Ultra-fast waveform digitizer card for PCI bus. APPLICATIONS. We offer the widest range of

CompuScope Ultra-fast waveform digitizer card for PCI bus.   APPLICATIONS. We offer the widest range of We offer the widest range of high-speed and high-resolution digitizers available on the market CompuScope 1602 Ultra-fast waveform digitizer card for PCI bus today. Our powerful PC-based instrumentation

More information

Snoop-Based Multiprocessor Design III: Case Studies

Snoop-Based Multiprocessor Design III: Case Studies Snoop-Based Multiprocessor Design III: Case Studies Todd C. Mowry CS 41 March, Case Studies of Bus-based Machines SGI Challenge, with Powerpath SUN Enterprise, with Gigaplane Take very different positions

More information

CPCI-AD32. Intelligent DSP Based 32 Channel Analog Input Card for 3U CompactPCI systems REFERENCE MANUAL Version 1.

CPCI-AD32. Intelligent DSP Based 32 Channel Analog Input Card for 3U CompactPCI systems REFERENCE MANUAL Version 1. CPCI-AD32 Intelligent DSP Based 32 Channel Analog Input Card for 3U CompactPCI systems REFERENCE MANUAL 751-10-000-4000 Version 1.0 September 1998 ALPHI TECHNOLOGY CORPORATION 6202 S. Maple Avenue #120

More information

Construction of a compact DAQ-system using DSP-based VME modules

Construction of a compact DAQ-system using DSP-based VME modules Abstract We have developed a DSP based data-acquisition syustem(daq) system, based on the DSP. The system utilizes VME boards with one or two s. Our intension was to consturct a compact DAQ framework which

More information

Engineer-to-Engineer Note

Engineer-to-Engineer Note Engineer-to-Engineer Note EE-377 Technical notes on using Analog Devices products and development tools Visit our Web resources http://www.analog.com/ee-notes and http://www.analog.com/processors or e-mail

More information

Alma2e PCI-to-VME Bridge: Using VME 2eSST Protocol

Alma2e PCI-to-VME Bridge: Using VME 2eSST Protocol Alma2e PCI-to-VME Bridge: Using VME 2eSST Protocol Serge Tissot September 25, 2002 Overview The ALMA2e is a new bus bridge designed by Thales Computers that interfaces between the PCI bus and the VMEbus.

More information

The MROD. The Read Out Driver for the ATLAS MDT Muon Precision Chambers

The MROD. The Read Out Driver for the ATLAS MDT Muon Precision Chambers The MROD The Read Out Driver for the ATLAS MDT Muon Precision Chambers Design Review Report Overview Marcello Barisonzi, Henk Boterenbrood, Rutger van der Eijk, Peter Jansweijer, Gerard Kieft, Jos Vermeulen

More information

EEC 170 Computer Architecture Fall Cache Introduction Review. Review: The Memory Hierarchy. The Memory Hierarchy: Why Does it Work?

EEC 170 Computer Architecture Fall Cache Introduction Review. Review: The Memory Hierarchy. The Memory Hierarchy: Why Does it Work? EEC 17 Computer Architecture Fall 25 Introduction Review Review: The Hierarchy Take advantage of the principle of locality to present the user with as much memory as is available in the cheapest technology

More information

Product Technical Brief S3C2416 May 2008

Product Technical Brief S3C2416 May 2008 Product Technical Brief S3C2416 May 2008 Overview SAMSUNG's S3C2416 is a 32/16-bit RISC cost-effective, low power, high performance micro-processor solution for general applications including the GPS Navigation

More information

Introduction CHAPTER 1

Introduction CHAPTER 1 CHAPTER 1 Introduction The ROBO-667 all-in-one single board computer is designed to fit a high performance Pentium-III based CPU and compatible for high-end computer system with PCI/ISA Bus architecture.

More information

Low Power System Design

Low Power System Design Low Power System Design Module 18-1 (1.5 hours): Case study: System-Level Power Estimation and Reduction Jan. 2007 Naehyuck Chang EECS/CSE Seoul National University Contents In-house tools for low-power

More information

cpci-dart Base-Board & Daughter-Board

cpci-dart Base-Board & Daughter-Board DYNAMIC ENGINEERING 150 DuBois, Suite C Santa Cruz, CA 95060 (831) 457-8891 Fax (831) 457-4793 http://www.dyneng.com sales@dyneng.com Est. 1988 User Manual cpci-dart Base-Board & Daughter-Board Eight-Channel

More information

The ALICE TPC Readout Control Unit 10th Workshop on Electronics for LHC and future Experiments September 2004, BOSTON, USA

The ALICE TPC Readout Control Unit 10th Workshop on Electronics for LHC and future Experiments September 2004, BOSTON, USA Carmen González Gutierrez (CERN PH/ED) The ALICE TPC Readout Control Unit 10th Workshop on Electronics for LHC and future Experiments 13 17 September 2004, BOSTON, USA Outline: 9 System overview 9 Readout

More information

Alibava: A startup guide

Alibava: A startup guide Table of Contents Alibava: A startup guide Alibava Systems Basic connections and initialization of the system...3 Laser setup connections...4 Radioactive setup connections...4 Probing the Beetle ouput

More information

The Intelligent FPGA Data Acquisition

The Intelligent FPGA Data Acquisition The Intelligent FPGA Data Acquisition Dominic Gaisbauer, Stefan Huber, Igor Konorov, Dmytro Levit, Prof. Dr. Stephan Paul, Dominik Steffen d.gaisbauer@tum.de Technische Universität München Institute for

More information

REAL TIME DIGITAL SIGNAL PROCESSING

REAL TIME DIGITAL SIGNAL PROCESSING REAL TIME DIGITAL SIGNAL PROCESSING UTN-FRBA 2010 Introduction Why Digital? A brief comparison with analog. Advantages Flexibility. Easily modifiable and upgradeable. Reproducibility. Don t depend on components

More information

Agenda. Programming FPGAs Why Are They Useful? NI FPGA Hardware Common Applications for FPGAs How to Learn More

Agenda. Programming FPGAs Why Are They Useful? NI FPGA Hardware Common Applications for FPGAs How to Learn More Agenda Programming FPGAs Why Are They Useful? NI FPGA Hardware Common Applications for FPGAs How to Learn More FPGA Technology Programmable Interconnects Logic Blocks I/O Blocks FPGA Logic Implementation

More information

S2C K7 Prodigy Logic Module Series

S2C K7 Prodigy Logic Module Series S2C K7 Prodigy Logic Module Series Low-Cost Fifth Generation Rapid FPGA-based Prototyping Hardware The S2C K7 Prodigy Logic Module is equipped with one Xilinx Kintex-7 XC7K410T or XC7K325T FPGA device

More information

Module 11: I/O Systems

Module 11: I/O Systems Module 11: I/O Systems Reading: Chapter 13 Objectives Explore the structure of the operating system s I/O subsystem. Discuss the principles of I/O hardware and its complexity. Provide details on the performance

More information

DAQ SYSTEM FOR GYROLASER

DAQ SYSTEM FOR GYROLASER Bachir Bouhadef 1 Analog input channels : Icw, Iccw and Sagnac @ 5 khz. Control parameters ( >10 ) @ 1 Hz. Sagnac Icw Iccw 2 NI PXI-8106 RT : 2.16 GHz Intel Core 2 Duo T7400 With LabVIEW Real-Time 3 What

More information

ROBIN Functional demonstrator of the ATLAS Trigger / DAQ Read-Out Buffer O.Gachelin, M.Huet, P.Le Dû, M.Mur C.E.A. Saclay - DAPNIA

ROBIN Functional demonstrator of the ATLAS Trigger / DAQ Read-Out Buffer O.Gachelin, M.Huet, P.Le Dû, M.Mur C.E.A. Saclay - DAPNIA 1 ROBIN Functional demonstrator of the ATLAS Trigger / DAQ Read-Out Buffer O.Gachelin, M.Huet, P.Le Dû, M.Mur C.E.A. Saclay - DAPNIA 2 Basic principles Data flow : output < input including L2 and L3 according

More information

14:332:331. Week 13 Basics of Cache

14:332:331. Week 13 Basics of Cache 14:332:331 Computer Architecture and Assembly Language Fall 2003 Week 13 Basics of Cache [Adapted from Dave Patterson s UCB CS152 slides and Mary Jane Irwin s PSU CSE331 slides] 331 Lec20.1 Fall 2003 Head

More information

SIMATIC Visionscape Scalable PC-based machine vision. Brochure November 2005

SIMATIC Visionscape Scalable PC-based machine vision. Brochure November 2005 Scalable PC-based machine vision Brochure November 2005 Scalable PC-based machine vision The need to accommodate faster production rates, identify finer defects, and inspect complex objects or assemblies

More information

EECS150 - Digital Design Lecture 11 SRAM (II), Caches. Announcements

EECS150 - Digital Design Lecture 11 SRAM (II), Caches. Announcements EECS15 - Digital Design Lecture 11 SRAM (II), Caches September 29, 211 Elad Alon Electrical Engineering and Computer Sciences University of California, Berkeley http//www-inst.eecs.berkeley.edu/~cs15 Fall

More information

Chapter 3 - Memory Management

Chapter 3 - Memory Management Chapter 3 - Memory Management Luis Tarrataca luis.tarrataca@gmail.com CEFET-RJ L. Tarrataca Chapter 3 - Memory Management 1 / 222 1 A Memory Abstraction: Address Spaces The Notion of an Address Space Swapping

More information

The Read-Out Driver (ROD) for the ATLAS Liquid Argon Calorimeters

The Read-Out Driver (ROD) for the ATLAS Liquid Argon Calorimeters The Read-Out Driver (ROD) for the ATLAS Liquid Argon Calorimeters Outline The read-out architecture The front-end boards The front-end links The read-out driver (ROD) modules design considerations prototype

More information

Scintillator Data Acquisition System

Scintillator Data Acquisition System Scintillator Data Acquisition System Applications in the CALICE AHCAL and ScECAL > AHCAL DAQ Overview > Hardware > LDA > Software > Plan Aliakbar Ebrahimi - DESY AHCAL Testbeam Preparation Hamburg, July

More information

Chap. 18b Data acquisition

Chap. 18b Data acquisition Chap. 18b Data acquisition The first question to ask is do I have more than one detector?.. No simple situation, use a multichannel analyzer (MCA) described in text. In a gross overview this is an ADC

More information

Tomasz Włostowski Beams Department Controls Group Hardware and Timing Section. Developing hard real-time systems using FPGAs and soft CPU cores

Tomasz Włostowski Beams Department Controls Group Hardware and Timing Section. Developing hard real-time systems using FPGAs and soft CPU cores Tomasz Włostowski Beams Department Controls Group Hardware and Timing Section Developing hard real-time systems using FPGAs and soft CPU cores Melbourne, 22 October 2015 Outline 2 Hard Real Time control

More information

Simplify System Complexity

Simplify System Complexity 1 2 Simplify System Complexity With the new high-performance CompactRIO controller Arun Veeramani Senior Program Manager National Instruments NI CompactRIO The Worlds Only Software Designed Controller

More information

High Performance Simultaneous Data Acquisition

High Performance Simultaneous Data Acquisition High Performance Simultaneous Data Acquisition ACQ196CPCI Maximum Channels 96 channels x 500kS/s 16 bit differential, protected inputs. Optional Analog Outputs, Digital IO RTM. Compact PCI standalone,

More information

ADSP-SC5xx EZ-KIT Lite Board Support Package v2.0.2 Release Notes

ADSP-SC5xx EZ-KIT Lite Board Support Package v2.0.2 Release Notes ADSP-SC5xx EZ-KIT Lite Board Support Package v2.0.2 Release Notes 2018 Analog Devices, Inc. http://www.analog.com processor.tools.support@analog.com Contents 1 Release Dependencies 3 2 Known issues in

More information

Engineer-to-Engineer Note

Engineer-to-Engineer Note Engineer-to-Engineer Note a EE-227 Technical notes on using Analog Devices DSPs, processors and development tools Contact our technical support at dsp.support@analog.com and at dsptools.support@analog.com

More information

An FPGA Based General Purpose DAQ Module for the KLOE-2 Experiment

An FPGA Based General Purpose DAQ Module for the KLOE-2 Experiment Journal of Physics: Conference Series An FPGA Based General Purpose DAQ Module for the KLOE-2 Experiment To cite this article: A Aloisio et al 2011 J. Phys.: Conf. Ser. 331 022033 View the article online

More information

Rhapsody Interface Management and Administration

Rhapsody Interface Management and Administration Rhapsody Interface Management and Administration Welcome The Rhapsody Framework Rhapsody Processing Model Application and persistence store files Web Management Console Backups Route, communication and

More information

Product Technical Brief S3C2413 Rev 2.2, Apr. 2006

Product Technical Brief S3C2413 Rev 2.2, Apr. 2006 Product Technical Brief Rev 2.2, Apr. 2006 Overview SAMSUNG's is a Derivative product of S3C2410A. is designed to provide hand-held devices and general applications with cost-effective, low-power, and

More information

Developing a Data Driven System for Computational Neuroscience

Developing a Data Driven System for Computational Neuroscience Developing a Data Driven System for Computational Neuroscience Ross Snider and Yongming Zhu Montana State University, Bozeman MT 59717, USA Abstract. A data driven system implies the need to integrate

More information

Data Logger / Network Gateway

Data Logger / Network Gateway TX CONTROL INSTRUMENTATION EDGE-DL/NG-TP002 Data Logger / Network Gateway Product Description The Entek EDGE series Data Logger and Network Gateway (DL/NG) provides reliable logging of process or sensor

More information