RAID prototype system introduction. SATA-IP RAID prototype system for Xilinx FPGA. 3 September 2018 Design Gateway Page 1.

Size: px
Start display at page:

Download "RAID prototype system introduction. SATA-IP RAID prototype system for Xilinx FPGA. 3 September 2018 Design Gateway Page 1."

Transcription

1 RAID prototype system introduction Ver1.3E - RAID prototype system for Xilinx FPGA 3 September 2018 Design Gateway Page 1 System Outline RAID prototype for the latest Xilinx FPGA Use RAID adapter board (AB09-FMCRAID) Operate 4-channel RAID0 (parallel access) Standard and High Performance version Show read/write result to PC via RS232C Execute test pattern read/write Display measured transfer performance 3 September 2018 Design Gateway Page 2

2 Prototype System Xilinx FPGA board (KCU105 in this picture) Mount AB09-FMCRAID adapter board on FMC connector Connect SSDs RAID prototype system using Xilinx FPGA board 3 September 2018 Design Gateway Page 3 RAID Adapter Board Can support up to 10 channels (each 5 ports on both component and solder side) Connect with FMC-HPC connector on Xilinx FPGA board 2.5 -SSD/HDD drive direct insertion Drive power supply via standard ATX power connector Part Number: AB09-FMCRAID Available on Mouser website 10 combiconnectors Connect with FMC-HPC ATX power connector 2.5 drive direct insertion 3 September 2018 Design Gateway Page 4

3 Two types RAID design Standard Version (MicroBlaze control) Use MicroBlaze for - controller All channel control by time division in CPU F/W Requires - only (no need HCTL-) High Performance Version (HCTL- control) Use HCTL- core for - controller Minimum latency, Maximum performance Requires Both - and HCTL- core 3 September 2018 Design Gateway Page 5 Standard Version Block Diagram MicroBlaze (CPU) A single MicroLBaze controls all channels in time division AXI2 AXI- 3 SSD LMB Bus AXI4_Lite Bus AXI- AXI2 3 SSD DDR3 (1 GB) AXI_DDR3 AXI2 AXI- 3 SSD R/W data transfer via individual AXI interconnect LMB BRAM AXI- AXI2 3 SSD Serial Port RAID Reference Design Timer UART Interrupt Controller Standard version RAID prototype system block diagram 3 September 2018 Design Gateway Page 6

4 High Performance Version Block Diagram MicroBlaze does not require and RAID control Independent HCTL- in each channel directly controls - HCTL- - High Performance version RAID prototype system block diagram 3 September 2018 Design Gateway Page 7 Write Result (High Performance Version) Set transfer size 0x sector = 32GB Set data pattern 4 = LFSR pseudo random pattern Write = 2068MB/s 4ch RAID Write Performance result 32GByte Write Result [Measurement Condition] FPGA: KCU105 board SSD: Samsung 850PRO x 4 High Performance Version 3 September 2018 Design Gateway Page 8

5 Read Result (High Performance Version) Set transfer size 0x sector = 32GB Set data pattern 4 = LFSR pseudo random pattern Read = 2225MB/s 4ch RAID Read Performance result 32GByte Read Result [Measurement Condition] FPGA: KCU105 board SSD: Samsung 850PRO x 4 High Performance Version 3 September 2018 Design Gateway Page 9 RAID Performance Write speed efficiency=99% Single=520MB/s, 4ch-RAID=2068MB/s Speed efficiency = 2068/(4 x 520) = 99% Read speed efficiency=97.29% Single=560MB/s, 4ch-RAID=2225MB/s Speed efficiency = 2225/(4 x 560) = 99% 3 September 2018 Design Gateway Page 10

6 RAID Design in deliverables Vivado project is attached with - and/or HCTL- product Full source code except core VHDL for hardware and C for MicroBlaze firmware Can save user system development duration Confirm real board operation by original reference design Then modify a little to approach final user product Check real operation in each modification step Short-term develpment is possible without big turn back 3 September 2018 Design Gateway Page 11 Conclusion Can build RAID prototype with FPGA & RAID adapter Quick check of RAID system without new board building RAID performance is almost 100% of single drive total Multiply performance by drive count Prototype design is available for - users Reduce RAID system development period based on this design RAID Reference Adapter bd. FPGA bd. - Quick!! 3 September 2018 Design Gateway Page 12

7 For more detail Detailed technical information available on the web site. Contact Design Gateway Co,. Ltd. FAX: September 2018 Design Gateway Page 13 Revision History Rev. Date Description Jun-09 RAID prototype system introduction 1st release 1.1E 21-Feb-13 Updated tokc705 based RAID system 1.3E 03-Sep-18 Added latest family support, added high performance version description 3 September 2018 Design Gateway Page 14

NVMe-IP Introduction for Xilinx Ver1.7E

NVMe-IP Introduction for Xilinx Ver1.7E NVMe-IP Introduction for Xilinx Ver1.7E Direct connection between latest NVMe SSD and FPGA Optimal Solution for Recording Application! Page 1 NVMe SSD Overview Agenda SSD Trends Merit of NVMe SSD for embedded

More information

NVMe-IP Introduction for Xilinx Ver1.8E

NVMe-IP Introduction for Xilinx Ver1.8E NVMe-IP Introduction for Xilinx Ver1.8E Direct connection between latest NVMe SSD and FPGA Optimal Solution for Data Recording Application! Page 1 NVMe SSD Overview Agenda SSD Trends Merit of NVMe SSD

More information

SATA-IP Introduction. Agenda

SATA-IP Introduction. Agenda Introduction Ver1.3E Support Virtex-6/Spartan-6! Magician of the Storage! 2012/7/31 Design Gateway Page 1 Agenda SATA Overview Summary, Features and Trend Merit and Solution Introduction Summary Application

More information

HCTL-IP RAID0x8 DDR Demo Instruction Rev1.0 8-Mar-18

HCTL-IP RAID0x8 DDR Demo Instruction Rev1.0 8-Mar-18 HCTL-IP RAID0x8 DDR Demo Instruction Rev1.0 8-Mar-18 This document describes the instruction to run 8-ch RAID0 with DDR by using SATA HCTL-IP. To run the demo, FPGA development board and AB09-FMCRAID board

More information

NVMe-IP Introduction for Intel

NVMe-IP Introduction for Intel NVMe-IP Introduction for Intel Ver1.5E Direct connection between latest NVMe SSD and FPGA Optimal Solution for Recording Application! Page 1 NVMe SSD Overview Agenda SSD Trends Merit of NVMe SSD for embedded

More information

2-Ch RAID0 (NVMe-IP) Demo Instruction Rev May-18

2-Ch RAID0 (NVMe-IP) Demo Instruction Rev May-18 2-Ch RAID0 (NVMe-IP) Demo Instruction Rev1.1 31-May-18 This document describes the instruction to run 2-ch RAID0 by using NVMe-IP on FPGA development board and AB17-M2FMC board. The demo is designed to

More information

SATA-IP Introduction (Intel)

SATA-IP Introduction (Intel) SATA-IP Introduction (Intel) Ver1.8E Support Arria10 SX Magician of the Storage! 7 January 2019 Design Gateway Page 1 Agenda SATA Overview Summary, Features and Trend Merit and Solution SATA-IP Introduction

More information

Figure 1 SATA Communication Layer

Figure 1 SATA Communication Layer SATA-IP Bridge reference design on AC701 manual Rev1.0 9-May-14 1. Introduction Serial ATA (SATA) is an evolutionary replacement for the Parallel ATA (PATA) physical storage interface. SATA interface increases

More information

NVMe-IP by AB17 Demo Instruction Rev1.0 4-May-18

NVMe-IP by AB17 Demo Instruction Rev1.0 4-May-18 NVMe-IP by AB17 Demo Instruction Rev1.0 4-May-18 This document describes the instruction to run NVMe-IP demo on FPGA development board by using AB17-M2FMC board. The demo is designed to write/verify data

More information

SATA-IP Introduction (Intel)

SATA-IP Introduction (Intel) SATA-IP Introduction (Intel) Ver1.7E Support Arria10 SX Magician of the Storage! 3 April 2017 Design Gateway Page 1 Agenda SATA Overview Summary, Features and Trend Merit and Solution SATA-IP Introduction

More information

SATA Host-IP Demo Instruction Rev Jan-18

SATA Host-IP Demo Instruction Rev Jan-18 SATA Host-IP Demo Instruction Rev1.6 15-Jan-18 This document describes the instruction to run SATA Host-IP demo on FPGA development board and AB09-FMCRAID board. The demo is designed to write/verify data

More information

SATA Storage Duplicator Instruction on KC705 Rev Sep-13

SATA Storage Duplicator Instruction on KC705 Rev Sep-13 SATA Storage Duplicator Instruction on KC705 Rev1.0 24-Sep-13 This document describes the step to run SATA Duplicator Demo for data duplication from one SATA disk to many SATA disk by using Design Gateway

More information

USB3.0-IP Core intruduction

USB3.0-IP Core intruduction USB3.0 Host & Device IP Ver1.7XE Supports Virtex6/Spartan6 Enchant your product with SuperSpeed! 2012/7/11 Design Gateway Page 1 USB3.0-IP Core intruduction IP Core to support USB3.0 SuperSpeed for Host

More information

Figure 1 SATA Communication Layer

Figure 1 SATA Communication Layer SATA-IP Host reference design on VC707 manual Rev1.0 21-Apr-14 1. Introduction Serial ATA (SATA) is an evolutionary replacement for the Parallel ATA (PATA) physical storage interface. SATA interface increases

More information

SATA-IP Host reference design on KC705 manual

SATA-IP Host reference design on KC705 manual SATA-IP Host reference design on KC705 manual Rev1.3 11-Feb-13 1. Introduction Serial ATA (SATA) is an evolutionary replacement for the Parallel ATA (PATA) physical storage interface. SATA interface increases

More information

NVMe-IP DDR Demo Instruction Rev Apr-18

NVMe-IP DDR Demo Instruction Rev Apr-18 NVMe-IP DDR Demo Instruction Rev1.0 19-Apr-18 This document describes the instruction to run NVMe-IP with DDR demo on FPGA development board by using AB16-PCIeXOVR board. The demo is designed to write/verify

More information

SATA-IP Bridge Demo Instruction on AC701 Rev1.0 9-May-14

SATA-IP Bridge Demo Instruction on AC701 Rev1.0 9-May-14 SATA-IP Bridge Demo Instruction on AC701 Rev1.0 9-May-14 This document describes SATA-IP Bridge evaluation procedure using bit file from Bridge reference design on AC701 board. 1 Environment For real board

More information

NVMe-IP Demo Instruction Rev Nov-17

NVMe-IP Demo Instruction Rev Nov-17 NVMe-IP Demo Instruction Rev2.1 30-Nov-17 This document describes the instruction to run NVMe-IP demo on FPGA development board by using AB16-PCIeXOVR board. The demo is designed to write/verify data with

More information

Ultimate IP cores. for Storage & Networking Solution. Features of Gigabit IP core series. DesignGateway is joining Xilinx Alliance Program

Ultimate IP cores. for Storage & Networking Solution. Features of Gigabit IP core series. DesignGateway is joining Xilinx Alliance Program Ultimate s for Storage & Networking Solution DesignGateway is joining Xilinx Alliance Program of Gigabit series Ultimate IP High performance, High reliability, Compact resource, Simple user interface Support

More information

May 7, 2018 Product Specification Rev2.3. Core Facts. Documentation Design File Formats

May 7, 2018 Product Specification Rev2.3. Core Facts. Documentation Design File Formats May 7, 2018 Product Specification Rev2.3 Design Gateway Co.,Ltd 54 BB Building 14 th Fl., Room No.1402 Sukhumvit 21 Rd. (Asoke), Klongtoey-Nua, Wattana, Bangkok 10110 Phone: 66(0)2-261-2277 Fax: 66(0)2-261-2290

More information

TOE40G-IP Introduction (Xilinx( Realize 40GbE limit speed!

TOE40G-IP Introduction (Xilinx( Realize 40GbE limit speed! TOE40G-IP Introduction (Xilinx( Xilinx) Ver1.0E Realize 40GbE limit speed! Page 1 TOE40G-IP core Overview TCP/IP off-loading engine for 40GBASE-SR4 Inserts between user logic and Xilinx 40/50GMAC module

More information

UDP1G-IP Introduction (Xilinx( Agenda

UDP1G-IP Introduction (Xilinx( Agenda UDP1G-IP Introduction (Xilinx( Xilinx) Ver1.01E Super UDP Speed by hard-wired IP-Core Design Gateway Page 1 Agenda Merit and demerit of UDP protocol UDP1G-IP core overview UDP1G-IP core description Initialization

More information

NVMe-IP reference design manual

NVMe-IP reference design manual 1 NVMe NVMe-IP reference design manual Rev3.0 19-Jul-18 NVM Express (NVMe) defines the interface for the host controller to access solid state drive (SSD) by PCI Express. NVM Express optimizes the process

More information

SATA-IP Device Demo Instruction on AC701 Rev Apr-14

SATA-IP Device Demo Instruction on AC701 Rev Apr-14 SATA-IP Device Demo Instruction on AC701 Rev1.0 11-Apr-14 This document describes SATA-IP Device evaluation procedure on AC701 using SATA-IP Device reference design bit-file. 1 Environment For real board

More information

NVMe-IP DDR reference design manual Rev Apr-18

NVMe-IP DDR reference design manual Rev Apr-18 1 Introduction NVMe-IP DDR reference design manual Rev1.0 17-Apr-18 NVMe-IP demo (no DDR) TestGen 128 TXFIFO 128 128 RXFIFO 128 NVMe-IP Integrated Block for PCIe NVMe SSD NVMe-IP demo (with DDR) 128 128

More information

HCTL-IP RAID0x8 DDR reference design manual Rev Mar-18

HCTL-IP RAID0x8 DDR reference design manual Rev Mar-18 HCTL-IP RAID0x8 DDR reference design manual Rev1.0 13-Mar-18 1 Introduction 8xSATA HCTL-IP + RAID0 Controller 0-15 RAID0 capacity = 8 x Device capacity Stp: Stripe 0 8 1 9 2 10 3 11 4 12 5 13 6 14 7 15

More information

AC701 Built-In Self Test Flash Application April 2015

AC701 Built-In Self Test Flash Application April 2015 AC701 Built-In Self Test Flash Application April 2015 XTP194 Revision History Date Version Description 04/30/14 11.0 Recompiled for 2015.1. Removed Ethernet as per CR861391. 11/24/14 10.0 Recompiled for

More information

Core Facts. Features Support Provided by Design Gateway Co., Ltd.

Core Facts. Features Support Provided by Design Gateway Co., Ltd. FAT32 IP Core for SATA September 22, 2017 Product Specification Rev1.0 Design Gateway Co.,Ltd 54 BB Building 14 th Fl., Room No.1402 Sukhumvit 21 Rd. (Asoke), Klongtoey-Nua, Wattana, Bangkok 10110 Phone:

More information

October 9, 2018 Product Specification Rev1.1. Core Facts. Documentation. Design File Formats. Additional Items

October 9, 2018 Product Specification Rev1.1. Core Facts. Documentation. Design File Formats. Additional Items October 9, 2018 Product Specification Rev1.1 Design Gateway Co.,Ltd 54 BB Building 14 th Fl., Room No.1402 Sukhumvit 21 Rd. (Asoke), Klongtoey-Nua, Wattana, Bangkok 10110 Phone: 66(0)2-261-2277 Fax: 66(0)2-261-2290

More information

Extreme TCP Speed on GbE

Extreme TCP Speed on GbE TOE1G-IP Introduction (Xilinx) Ver1.1E Extreme TCP Speed on GbE Design Gateway Page 1 Agenda Advantage and Disadvantage of TCP on GbE TOE1G-IP core overview TOE1G-IP core description Initialization High-speed

More information

USB3H-IP(USB3.0 Host function IP) demo manual Rev 1.4 E / 2 May, 2017

USB3H-IP(USB3.0 Host function IP) demo manual Rev 1.4 E / 2 May, 2017 USB3H-IP(USB3.0 Host function IP) demo manual Rev 1.4 E / 2 May, 2017 This document describes USB3H-IP (USB3.0 host function IP-Core) evaluation procedure using Intel evaluation board (CycloneIV GX board,

More information

SFPFMC User Manual Rev May-14

SFPFMC User Manual Rev May-14 SFPFMC User Manual Rev1.0 15-May-14 1 Introduction Thank you for choosing SFPFMC board [Part Number: AB15-SFPFMC]. SFPFMC board is compliant with FMC standard (HPC) and provides four SFP+ channels, so

More information

Copyright 2014 Xilinx

Copyright 2014 Xilinx IP Integrator and Embedded System Design Flow Zynq Vivado 2014.2 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able

More information

Core Facts. Documentation Design File Formats. Verification Instantiation Templates Reference Designs & Application Notes Additional Items

Core Facts. Documentation Design File Formats. Verification Instantiation Templates Reference Designs & Application Notes Additional Items January 18, 2018 Product Specification Rev2.2 Design Gateway Co.,Ltd 54 BB Building 14 th Fl., Room No.1402 Sukhumvit 21 Rd. (Asoke), Klongtoey-Nua, Wattana, Bangkok 10110 Phone: (+66) 02-261-2277 Fax:

More information

Ultimate IP cores. for Storage & Networking Solution. Features of Gigabit IP core series

Ultimate IP cores. for Storage & Networking Solution. Features of Gigabit IP core series Ultimate s for Storage & Networking Solution DesignGateway is the Gold Partner of Intel FPGA Design Solution Network of Gigabit series Ultimate IP High performance, High reliability, Compact resource,

More information

NVMe-IP for PLDA PCIe reference design manual

NVMe-IP for PLDA PCIe reference design manual NVMe-IP for PLDA PCIe reference design manual Rev1.1 9-Oct-18 1 NVMe NVM Express (NVMe) defines interface for the host controller to access solid state drives (SSD) through PCI Express. NVM Express optimizes

More information

Porting VME-Based Optical-Link Remote I/O Module to a PLC Platform - an Approach to Maximize Cross-Platform Portability Using SoC

Porting VME-Based Optical-Link Remote I/O Module to a PLC Platform - an Approach to Maximize Cross-Platform Portability Using SoC Porting VME-Based Optical-Link Remote I/O Module to a PLC Platform - an Approach to Maximize Cross-Platform Portability Using SoC T. Masuda, A. Kiyomichi Japan Synchrotron Radiation Research Institute

More information

AMC516 Virtex-7 FPGA Carrier for FMC, AMC

AMC516 Virtex-7 FPGA Carrier for FMC, AMC KEY FEATURES Virtex-7 FPGA Carrier AMC FPGA carrier for FMC per VITA-57 Xilinx Virtex-7 690T FPGA in FFG-1761 package with optional P2040 Supported by DAQ Series data acquisition software AMC Ports 12-15

More information

HSMC SATA RAID board [AB12-HSMCRAID] Manual [Ver1.0E]

HSMC SATA RAID board [AB12-HSMCRAID] Manual [Ver1.0E] HSMC SATA RAID board [AB-HSMCRAID] Manual [Ver.0E] Introduction Thank you for choosing HSMC SATA RAID board [Part Number: AB-HSMCRAID] ( RAID board in this manual.) The RAID board is compliant with HSMC

More information

Data Side OCM Bus v1.0 (v2.00b)

Data Side OCM Bus v1.0 (v2.00b) 0 Data Side OCM Bus v1.0 (v2.00b) DS480 January 23, 2007 0 0 Introduction The DSOCM_V10 core is a data-side On-Chip Memory (OCM) bus interconnect core. The core connects the PowerPC 405 data-side OCM interface

More information

USB3D-IP (USB3.0-Device function IP) demo manual Rev 1.3E / 15 May, 2015

USB3D-IP (USB3.0-Device function IP) demo manual Rev 1.3E / 15 May, 2015 USB3D-IP (USB3.0-Device function IP) demo manual Rev 1.3E / 15 May, 2015 This document describes USB3D-IP (USB3.0 device function IP-Core) evaluation procedure using Altera evaluation board (CycloneIV

More information

PCIE FAN USER GUIDE viviso.com PCIE FAN USER GUIDE. page 1 / 5

PCIE FAN USER GUIDE viviso.com PCIE FAN USER GUIDE. page 1 / 5 page 1 / 5 page 2 / 5 pcie fan user guide pdf On-Board or Basic Graphics Card accordingly to M/B specifications [subtract $50.00]: NVIDIA GT 710 2GB DDR3 PCIe- VGA-Low Profile option-1xdl DVI-D 2560x1600

More information

Stratix4 GX SATA3 RAID Demo Instruction Rev Oct-12

Stratix4 GX SATA3 RAID Demo Instruction Rev Oct-12 Stratix4 GX SATA3 RAID Demo Instruction Rev1.0 31-Oct-12 This document describes the instruction to run 4-ch RAID0 of SATA3-IP demo on Stratix IV GX development board. 1 Environment Setup To run RAID demo,

More information

DAMC-FMC25. Board Support Package Overview. AMC Dual High Pin Count FMC Carrier Board. MTCA.4 MicroTCA for Physics

DAMC-FMC25. Board Support Package Overview. AMC Dual High Pin Count FMC Carrier Board. MTCA.4 MicroTCA for Physics MTCA.4 MicroTCA for Physics DAMC-FMC25 Board Support Package Overview DAMC-FMC25 AMC Dual High Pin Count FMC Carrier Board Board Support Package Overview All Rights Reserved CAEN ELS d.o.o. Rev. 1.0 September

More information

RIVYERA S6-LX150 DATASHEET. 128 FPGA Next Generation Reconfigurable Computer RIVYERA S6-LX150

RIVYERA S6-LX150 DATASHEET. 128 FPGA Next Generation Reconfigurable Computer RIVYERA S6-LX150 DATASHEET RIVYERA S6-LX150 128 FPGA Next Generation Reconfigurable Computer RIVYERA S6-LX150 Products shown in this data sheet may be subjected to any change without prior notice. Although all data reported

More information

SP605 Standalone Applications

SP605 Standalone Applications SP605 Standalone Applications July 2011 Copyright 2011 Xilinx XTP064 Revision History Date Version Description 07/06/11 13.2 Up-rev 13.1 GPIO_HDR Design to 13.2. 03/01/11 13.1 Up-Rev 12.4 GPIO_HDR Design

More information

Optimizing Models of an FPGA Embedded System. Adam Donlin Xilinx Research Labs September 2004

Optimizing Models of an FPGA Embedded System. Adam Donlin Xilinx Research Labs September 2004 Optimizing Models of an FPGA Embedded System Adam Donlin Xilinx Research Labs September 24 Outline Target System Architecture Model Optimizations and Simulation Impact Port Datatypes Threads and Methods

More information

SATA-IP Host Demo Instruction on SP605 Rev Jan-10

SATA-IP Host Demo Instruction on SP605 Rev Jan-10 SATA-IP Host Demo Instruction on SP605 Rev1.0 21-Jan-10 This document describes SATA-IP Host evaluation procedure using SATA-IP Host reference design bit-file. 1 Environment For real board evaluation of

More information

AMC517 Kintex-7 FPGA Carrier for FMC, AMC

AMC517 Kintex-7 FPGA Carrier for FMC, AMC AMC Kintex-7 FPGA Carrier KEY FEATURES AMC FPGA carrier for FMC per VITA-57 Xilinx Kintex-7 410T FPGA in FFG-900 package with optional P2040 Supported by DAQ Series data acquisition software AMC Ports

More information

Real Time Spectrogram

Real Time Spectrogram Real Time Spectrogram EDA385 Final Report Erik Karlsson, dt08ek2@student.lth.se David Winér, ael09dwi@student.lu.se Mattias Olsson, ael09mol@student.lu.se October 31, 2013 Abstract Our project is about

More information

Optimizing FPGA-based Accelerator Design for Deep Convolutional Neural Network

Optimizing FPGA-based Accelerator Design for Deep Convolutional Neural Network Optimizing FPGA-based Accelerator Design for Deep Convolutional Neural Network Chen Zhang 1, Peng Li 3, Guangyu Sun 1,2, Yijin Guan 1, Bingjun Xiao 3, Jason Cong 1,2,3 1 Peking University 2 PKU/UCLA Joint

More information

40Gbps+ Full Line Rate, Programmable Network Accelerators for Low Latency Applications SAAHPC 19 th July 2011

40Gbps+ Full Line Rate, Programmable Network Accelerators for Low Latency Applications SAAHPC 19 th July 2011 40Gbps+ Full Line Rate, Programmable Network Accelerators for Low Latency Applications SAAHPC 19 th July 2011 Allan Cantle President & Founder www.nallatech.com Company Overview ISI + Nallatech + Innovative

More information

VPX645. NVMe HBA with RAID (0, 1, 5, 6, 10, 50 and 60), 3U VPX. Key Features. Benefits. 3U VPX NVMe Host Bus Adapter with Full support for RAID

VPX645. NVMe HBA with RAID (0, 1, 5, 6, 10, 50 and 60), 3U VPX. Key Features. Benefits. 3U VPX NVMe Host Bus Adapter with Full support for RAID VPX645 NVMe HBA with RAID (0, 1, 5, 6, 10, 50 and 60), 3U VPX Key Features 3U VPX NVMe Host Bus Adapter with Full support for RAID Benefits Dual Core ARM A15 RAID On Chip (ROC) On board 8 GB of DDR4 Memory

More information

NEXT-GENERATION SSD VERIFICATION PLATFORM WITH TERA-SCALE NAND CAPACITY AND STORAGE SIGNAL PROCESSING SUPPORT

NEXT-GENERATION SSD VERIFICATION PLATFORM WITH TERA-SCALE NAND CAPACITY AND STORAGE SIGNAL PROCESSING SUPPORT NEXT-GENERATION SSD VERIFICATION PLATFORM WITH TERA-SCALE CAPACITY AND STORAGE SIGNAL PROCESSING SUPPORT NVRAMOS 2012-10-16 Seil Lee, Myunghyun Rhee, and Sungroh Yoon Advanced Computing Laboratory, Seoul

More information

Moneta: A High-performance Storage Array Architecture for Nextgeneration, Micro 2010

Moneta: A High-performance Storage Array Architecture for Nextgeneration, Micro 2010 Moneta: A High-performance Storage Array Architecture for Nextgeneration, Non-volatile Memories Micro 2010 NVM-based SSD NVMs are replacing spinning-disks Performance of disks has lagged NAND flash showed

More information

How Verify System Platform Max. Performance

How Verify System Platform Max. Performance How Verify System Platform Max. Performance Instructions for all SSD7000 NVMe RAID Controller (for Windows platforms) Maximizing the performance potential of your NVMe storage starts with your host platform.

More information

TOE10G-IP Demo on VC707 Instruction Rev Jun-14

TOE10G-IP Demo on VC707 Instruction Rev Jun-14 TOE10G-IP Demo on VC707 Instruction Rev1.0 13-Jun-14 This document describes the instruction to run TOE10G-IP for transferring 10-Gb data between VC707 development board and PC through 10Gigabit Ethernet.

More information

Figure 1-1 Example of File System Layout

Figure 1-1 Example of File System Layout SATA-IP exfat reference design manual Rev1.1 5-Sep-13 1 Introduction The exfat file system is the successor to FAT32 in the FAT family of file systems. It incorporates several improvements over FAT32 such

More information

Midterm Exam. Solutions

Midterm Exam. Solutions Midterm Exam Solutions Problem 1 List at least 3 advantages of implementing selected portions of a complex design in software Software vs. Hardware Trade-offs Improve Performance Improve Energy Efficiency

More information

Version 1.6 Page 2 of 25 SMT351 User Manual

Version 1.6 Page 2 of 25 SMT351 User Manual SMT351 User Manual Version 1.6 Page 2 of 25 SMT351 User Manual Revision History Date Comments Engineer Version 28/07/04 First revision JPA 1.1 16/09/04 Added pin number for JP1 pinout section. Updated

More information

SoC Platforms and CPU Cores

SoC Platforms and CPU Cores SoC Platforms and CPU Cores COE838: Systems on Chip Design http://www.ee.ryerson.ca/~courses/coe838/ Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrical and Computer Engineering Ryerson University

More information

Avnet, Xilinx ATCA PICMG Design Kit Hardware Manual

Avnet, Xilinx ATCA PICMG Design Kit Hardware Manual user s guide Avnet, Xilinx ATCA PICMG Design Kit Hardware Manual Avnet Design Services 1 of 18 Rev 1.0 12/15/2004 Table of Contents 1 Overview... 5 2 Jumpers... 6 3 Personality Module Mechanicals... 8

More information

January 19, 2010 Product Specification Rev1.0. Core Facts. Documentation Design File Formats. Slices 1 BUFG/

January 19, 2010 Product Specification Rev1.0. Core Facts. Documentation Design File Formats. Slices 1 BUFG/ January 19, 2010 Product Specification Rev1.0 Design Gateway Co.,Ltd 54 BB Building 13 th Fl., Room No.1302 Sukhumvit 21 Rd. (Asoke), Klongtoey-Nua, Wattana, Bangkok 10110 Phone: (+66) 02-261-2277 Fax:

More information

RiceNIC. Prototyping Network Interfaces. Jeffrey Shafer Scott Rixner

RiceNIC. Prototyping Network Interfaces. Jeffrey Shafer Scott Rixner RiceNIC Prototyping Network Interfaces Jeffrey Shafer Scott Rixner RiceNIC Overview Gigabit Ethernet Network Interface Card RiceNIC - Prototyping Network Interfaces 2 RiceNIC Overview Reconfigurable and

More information

FAT32-IP for SATA reference design manual

FAT32-IP for SATA reference design manual FAT32-IP for SATA reference design manual Rev1.0 4-Oct-17 1. Introduction In the hardware system, data stream can be stored to the disk by using raw data or file system. Using raw data, the data is allocated

More information

SP605 Built-In Self Test Flash Application

SP605 Built-In Self Test Flash Application SP605 Built-In Self Test Flash Application March 2011 Copyright 2011 Xilinx XTP062 Revision History Date Version Description 03/01/11 13.1 Up-rev 12.4 BIST Design to 13.1. 12/21/10 12.4 Up-rev 12.3 BIST

More information

Designing Multi-Channel, Real-Time Video Processors with Zynq All Programmable SoC Hyuk Kim Embedded Specialist Jun, 2014

Designing Multi-Channel, Real-Time Video Processors with Zynq All Programmable SoC Hyuk Kim Embedded Specialist Jun, 2014 Designing Multi-Channel, Real-Time Video Processors with Zynq All Programmable SoC Hyuk Kim Embedded Specialist Jun, 2014 Broadcast & Pro A/V Landscape Xilinx Smarter Vision in action across the entire

More information

Spartan-6 LX9 MicroBoard Embedded Tutorial. Tutorial 1 Creating an AXI-based Embedded System

Spartan-6 LX9 MicroBoard Embedded Tutorial. Tutorial 1 Creating an AXI-based Embedded System Spartan-6 LX9 MicroBoard Embedded Tutorial Tutorial 1 Creating an AXI-based Embedded System Version 13.1.01 Revision History Version Description Date 13.1.01 Initial release for EDK 13.1 5/15/2011 Table

More information

2-ch RAID0 Design (NVMe-IP) reference design manual Rev1.0 2-Oct-17

2-ch RAID0 Design (NVMe-IP) reference design manual Rev1.0 2-Oct-17 2-ch RAID0 Design (NVMe-IP) reference design manual Rev1.0 2-Oct-17 1 Introduction Figure 1-1 RAID0 by 2 SSDs Data Format RAID0 system uses multiple storages to extend total storage capacity and increase

More information

Hardware NVMe implementation on cache and storage systems

Hardware NVMe implementation on cache and storage systems Hardware NVMe implementation on cache and storage systems Jerome Gaysse, IP-Maker Santa Clara, CA 1 Agenda Hardware architecture NVMe for storage NVMe for cache/application accelerator NVMe for new NVM

More information

FPGA memory performance

FPGA memory performance FPGA memory performance Sensor to Image GmbH Lechtorstrasse 20 D 86956 Schongau Website: www.sensor-to-image.de Email: email@sensor-to-image.de Sensor to Image GmbH Company Founded 1989 and privately owned

More information

SSD Architecture Considerations for a Spectrum of Enterprise Applications. Alan Fitzgerald, VP and CTO SMART Modular Technologies

SSD Architecture Considerations for a Spectrum of Enterprise Applications. Alan Fitzgerald, VP and CTO SMART Modular Technologies SSD Architecture Considerations for a Spectrum of Enterprise Applications Alan Fitzgerald, VP and CTO SMART Modular Technologies Introduction Today s SSD delivers form-fit-function compatible solid-state

More information

Employing Multi-FPGA Debug Techniques

Employing Multi-FPGA Debug Techniques Employing Multi-FPGA Debug Techniques White Paper Traditional FPGA Debugging Methods Debugging in FPGAs has been difficult since day one. Unlike simulation where designers can see any signal at any time,

More information

LogiCORE IP AXI Video Direct Memory Access (axi_vdma) (v3.00.a)

LogiCORE IP AXI Video Direct Memory Access (axi_vdma) (v3.00.a) DS799 March 1, 2011 LogiCORE IP AXI Video Direct Memory Access (axi_vdma) (v3.00.a) Introduction The AXI Video Direct Memory Access (AXI VDMA) core is a soft Xilinx IP core for use with the Xilinx Embedded

More information

ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University

ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University Prof. Sunil P Khatri (Lab exercise created and tested by Ramu Endluri, He Zhou, Andrew Douglass

More information

CoreTile Express for Cortex-A5

CoreTile Express for Cortex-A5 CoreTile Express for Cortex-A5 For the Versatile Express Family The Versatile Express family development boards provide an excellent environment for prototyping the next generation of system-on-chip designs.

More information

The Lekha 3GPP LTE FEC IP Core meets 3GPP LTE specification 3GPP TS V Release 10[1].

The Lekha 3GPP LTE FEC IP Core meets 3GPP LTE specification 3GPP TS V Release 10[1]. Lekha IP 3GPP LTE FEC Encoder IP Core V1.0 The Lekha 3GPP LTE FEC IP Core meets 3GPP LTE specification 3GPP TS 36.212 V 10.5.0 Release 10[1]. 1.0 Introduction The Lekha IP 3GPP LTE FEC Encoder IP Core

More information

Hardware Implementation of TRaX Architecture

Hardware Implementation of TRaX Architecture Hardware Implementation of TRaX Architecture Thesis Project Proposal Tim George I. Project Summery The hardware ray tracing group at the University of Utah has designed an architecture for rendering graphics

More information

SATA-IP Device reference design manual

SATA-IP Device reference design manual SATA-IP Device reference design manual Rev1.2 02-Jun-09 1. Introduction Serial ATA (SATA) is an evolutionary replacement for the Parallel ATA (PATA) physical storage interface. SATA interface increases

More information

S2C K7 Prodigy Logic Module Series

S2C K7 Prodigy Logic Module Series S2C K7 Prodigy Logic Module Series Low-Cost Fifth Generation Rapid FPGA-based Prototyping Hardware The S2C K7 Prodigy Logic Module is equipped with one Xilinx Kintex-7 XC7K410T or XC7K325T FPGA device

More information

Prototyping Module Datasheet

Prototyping Module Datasheet Prototyping Module Datasheet Part Numbers: MPROTO100 rev 002 Zenseio LLC Updated: September 2016 Table of Contents Table of Contents Functional description PROTOTYPING MODULE OVERVIEW FEATURES BLOCK DIAGRAM

More information

AD910A M.2 (NGFF) to SATA III Converter Card

AD910A M.2 (NGFF) to SATA III Converter Card MINERVA AD910A M.2 (NGFF) to SATA III Converter Card Performance & Burn In Test Rev. 1.0 Table of Contents 1. Overview 2. Performance Measurement Tools and Results 2.1 Test Platform 2.2 Test target and

More information

The Many Dimensions of SDR Hardware

The Many Dimensions of SDR Hardware The Many Dimensions of SDR Hardware Plotting a Course for the Hardware Behind the Software Sept 2017 John Orlando Epiq Solutions LO RFIC Epiq Solutions in a Nutshell Schaumburg, IL EST 2009 N. Virginia

More information

M100 GigE Series. Multi-Camera Vision Controller. Easy cabling with PoE. Multiple inspections available thanks to 6 GigE Vision ports and 4 USB3 ports

M100 GigE Series. Multi-Camera Vision Controller. Easy cabling with PoE. Multiple inspections available thanks to 6 GigE Vision ports and 4 USB3 ports M100 GigE Series Easy cabling with PoE Multiple inspections available thanks to 6 GigE Vision ports and 4 USB3 ports Maximized acquisition performance through 6 GigE independent channels Common features

More information

That s Definitive Configuration ROM for ultra large scale FPGA!! What is SDLink?

That s Definitive Configuration ROM for ultra large scale FPGA!! What is SDLink? Ver.1.2E That s Definitive Configuration ROM for ultra large scale FPGA!! Design Gateway Page 1 What is SDLink? Epochal FPGA configuration module which stores data in microsd Ultra large capacity. Unlimited

More information

FCUDA-SoC: Platform Integration for Field-Programmable SoC with the CUDAto-FPGA

FCUDA-SoC: Platform Integration for Field-Programmable SoC with the CUDAto-FPGA 1 FCUDA-SoC: Platform Integration for Field-Programmable SoC with the CUDAto-FPGA Compiler Tan Nguyen 1, Swathi Gurumani 1, Kyle Rupnow 1, Deming Chen 2 1 Advanced Digital Sciences Center, Singapore {tan.nguyen,

More information

VXS-610 Dual FPGA and PowerPC VXS Multiprocessor

VXS-610 Dual FPGA and PowerPC VXS Multiprocessor VXS-610 Dual FPGA and PowerPC VXS Multiprocessor Two Xilinx Virtex -5 FPGAs for high performance processing On-board PowerPC CPU for standalone operation, communications management and user applications

More information

Minerva. Performance & Burn In Test Rev AD903A/AD903D Converter Card. Table of Contents. 1. Overview

Minerva. Performance & Burn In Test Rev AD903A/AD903D Converter Card. Table of Contents. 1. Overview Minerva AD903A/AD903D Converter Card Performance & Burn In Test Rev. 1.0 Table of Contents 1. Overview 2. Performance Measurement Tools and Results 2.1 Test Platform 2.2 Test target and Used SATA III SSD

More information

SATA PHY Design Manual

SATA PHY Design Manual SATA PHY Design Manual BeanDigital (v1.0) 1 July 2012 Revision History Date Version Revision 11/07/12 1.0 Initial release Page 2 1 Contents 2 Introduction... 4 3 Block Diagram... 4 4 Interface... 5 5 Parameters...

More information

Applications Information. Power Supply Characteristics. 986LCD-M/mITX

Applications Information. Power Supply Characteristics. 986LCD-M/mITX KTD-00684-A Public Application Note Date: 2006-11-24 Page 1 of 6 Applications Information Power Supply Characteristics 986LCD-M/mITX This note describes the power supply characteristics of the 986LCD-M/mITX

More information

Creating the AVS6LX9MBHP211 MicroBlaze Hardware Platform for the Spartan-6 LX9 MicroBoard Version

Creating the AVS6LX9MBHP211 MicroBlaze Hardware Platform for the Spartan-6 LX9 MicroBoard Version Creating the AVS6LX9MBHP211 MicroBlaze Hardware Platform for the Spartan-6 LX9 MicroBoard Version 13.2.01 Revision History Version Description Date 12.4.01 Initial release for EDK 12.4 09 Mar 2011 12.4.02

More information

LogiCORE IP AXI DMA v6.01.a

LogiCORE IP AXI DMA v6.01.a LogiCORE IP AXI DMA v6.01.a Product Guide Table of Contents SECTION I: SUMMARY IP Facts Chapter 1: Overview Typical System Interconnect......................................................... 8 Operating

More information

Full Linux on FPGA. Sven Gregori

Full Linux on FPGA. Sven Gregori Full Linux on FPGA Sven Gregori Enclustra GmbH FPGA Design Center Founded in 2004 7 engineers Located in the Technopark of Zurich FPGA-Vendor independent Covering all topics

More information

dg_satahostip_refdesign_intel_en.doc

dg_satahostip_refdesign_intel_en.doc 1. Overview SATA Host-IP reference design manual Rev1.2 14-Nov-17 HSATAIPTest TestPatt Generator Data Verification 32-bit TxData 32-bit RxData U2IPFIFO (512x32) IP2UFIFO (512x32) dgif types (DATA) HSATAIP

More information

High Performance Computing

High Performance Computing 21 High Performance Computing High Performance Computing Systems 21-2 HPC-1420-ISSE Robust 1U Intel Quad Core Xeon Server with Innovative Cable-less Design 21-3 HPC-2820-ISSE 2U Intel Quad Core Xeon Server

More information

CSP PROJECT VIRTUAL FPGA. Working with Microblaze on Alpha Data board

CSP PROJECT VIRTUAL FPGA. Working with Microblaze on Alpha Data board CSP PROJECT VIRTUAL FPGA Working with Microblaze on Alpha Data board Tarundeep Singh 2008CS10195 Yogesh Kumar 2008CS10197 Sandeep Kr Bindal Ankit Kr Jain Anuj Chauhan 2008CS50536 2008CS10157 2008CS10162

More information

VXS-621 FPGA & PowerPC VXS Multiprocessor

VXS-621 FPGA & PowerPC VXS Multiprocessor VXS-621 FPGA & PowerPC VXS Multiprocessor Xilinx Virtex -5 FPGA for high performance processing On-board PowerPC CPU for standalone operation, communications management and user applications Two PMC/XMC

More information

Avnet S6LX16 Evaluation Board and Maxim DAC/ADC FMC Module Reference Design

Avnet S6LX16 Evaluation Board and Maxim DAC/ADC FMC Module Reference Design Avnet S6LX16 Evaluation Board and Maxim DAC/ADC FMC Module Reference Design By Nasser Poureh, Avnet Technical Marketing Manager Mohammad Qazi, Maxim Application Engineer, SP&C Version 1.0 August 2010 1

More information

FPGA based charge fast histogramming for GEM detector

FPGA based charge fast histogramming for GEM detector FPGA based charge fast histogramming for GEM detector Krzysztof T. Pozniak a, A. Byszuk a, M. Chernyshova b, R. Cieszewski a, T. Czarski b, W. Dominik c, K. Jakubowska b, G. Kasprowicz a, J. Rzadkiewicz

More information

Looking Ahead to Higher Performance SSDs with HLNAND

Looking Ahead to Higher Performance SSDs with HLNAND Looking Ahead to Higher Performance SSDs with HLNAND Roland Schuetz Director, Applications & Business Initiatives MOSAID Technologies Inc. Soogil Jeong Vice President, Engineering INDILINX Infrastructure

More information