RPWI Software Design SWEDISH INSTITUTE OF SPACE PHYSICS. Reine Gill

Size: px
Start display at page:

Download "RPWI Software Design SWEDISH INSTITUTE OF SPACE PHYSICS. Reine Gill"

Transcription

1 RPWI Software Design SWEDISH INSTITUTE OF SPACE PHYSICS Reine Gill

2 Software Environment (Design A, Design B) - Dataflows (Instruments, Spacecraft TM/TC) - Signals (Clocks, Interrupts, Pulse Per Second (PPS)) - Hardware (Interfaces, LVDS links etc.) Software Design, Data Flow Channels - Moving and processing data in real time - Synchronization - Examples of block vs stream processing Software Design, Datation/Timestamping and Synchronization Software Design, Interrupts Software Design, Sequencing and Duty cycle - What do we need? - Driven by constraints, power/telemetry. Quality Assurance and standards Software Development etc. - Practical issues - Instrument logging and version control Estimated work hours - Rough estimate not including QA Software to do list - Not complete but conveys magnitude and scope

3 Data flows, Signals and Hardware (Software environment, design A) SCM LP-PWI RA-PWI RWI MLA Links and data rates (without local data reduction) Thin arrows represents slow single LVDS links moving configuration or slow HK data. (256Writes/s = 4Kbit/s with margin but not including address.) Thick arrows represent faster and also parallel LVDS links. LF Wave Analyzer A RAM MEMORY (EDAC) MAX8MB (TBC) LP BIAS Control B RTEMS: 100K Instruments: 240K Buses Services: 100K Total: 440K The rest is for data MF Wave Analyzer C (ACTEL) DATA BUS/ IRQs ESA ASIC LEON2 HF Wave Analyzer D M IO Memory 100 MHz Max (TBC) ESA ASIC Xentium DSP Xentium DSP (Here M used with bytes/bits is 1024*1024 otherwise it is 1000*1000) A) 20KHz*2.5*9 = 450 KS/s => 7 Mbit/s = 1MB/s B) 100KHz*4 = 400 KWrites/s => 6.4 Mbit/s C) 2.5MHz*3 = 7.5 MS/s (16bit) => 120 Mbit/s = 15MB/s D) 3MHz *2 = 6 MS/s (12bit) => 72 Mbit/s = 9MB/s Notes (C ) Computed for raw data (4-5 parallel links). (D) Used to be 10MHz reduced (by wp) due to bandwidth issue Total raw output rate without reduction:25mb/s This implies low duty cycles and high data reduction. SYS CLK SPACE WIRE PPS (SYSCLK) Distributed common clock signal with redundancy use for synchronization TBC. (PPS) 1 Pulse Per second Used for synchronization between instruments, overall DPU timebase, datation and updating system time PPS signal separate line PPS signal from space wire 8*1e6/2e6=4us accuracy at 2Mbit? TM/TC Mbit/day Byte/s SPACE WIRE 8 Interrupts 4 / s 2 DMA? Dep. on ASIC 1 PPS 1 RTEMS Task Timer SPACE CRAFT

4 Data flows, Signals and Hardware (Software environment, design B) SCM LP-PWI RA-PWI RWI MLA Links and data rates (without local data reduction) Thin arrows represents slow single LVDS links moving configuration or slow HK data. (256Writes/s = 4Kbit/s with margin but not including address.) Thick arrows represent faster and also parallel LVDS links. (Here M used with bytes/bits is 1024*1024 otherwise it is 1000*1000) LF Wave Analyzer A IRQs LP BIAS Control B MF Wave Analyzer C (ACTEL) DATA BUS/ Signal Processing (VIRTEX-4 or 5) M HF Wave Analyzer D M IO Memory IRQ LEON3-FT (24MHz) RTAX2000 (TBC) BUSES SYS CLK PPS DMA Tx/Rx IRQ RAM MEMORY (EDAC) MAX8MB (TBC) RTEMS: 100K Instruments: 240K Services: 100K Total: 440K The rest is for data. A) 20KHz*2.5*9 = 450 KS/s => 7 Mbit/s = 1MB/s B) 100KHz*4 = 400 KWrites/s => 6.4 Mbit/s C) 2.5MHz*3 = 7.5 MS/s (16bit) => 120 Mbit/s = 15MB/s D) 3MHz *2 = 6 MS/s (12bit) => 72 Mbit/s = 9MB/s Notes (C ) Computed for raw data (4-5 parallel links). (D) Used to be 10MHz reduced (by wp) due to bandwidth issue Total raw output rate without reduction:25mb/s This implies low duty cycles and high data reduction. (SYSCLK) Distributed common clock signal with redundancy use for synchronization TBC. (PPS) 1 Pulse Per second Used for synchronization between instruments, overall DPU timebase, datation and updating system time PPS signal separate line PPS signal from space wire 8*1e6/2e6=4us accuracy at 2Mbit? SPACE WIRE TM/TC Mbit/day Byte/s 9 Interrupts 5 / s 2 DMA 1 PPS 1 RTEMS Task Timer SPACE WIRE SPACE CRAFT

5 IRQ or Typical size 2-4K Words Software Design, Data Flow Channels ACTEL and VIRTEX-4/5 (Total buffer memory ~16K Words 16bit TBC) Max input rate: 100KS/s - 1MS/s (16bit) (TBC) IRQ or IRQ Handler Control Move Data Task Unpack frames 1 2 ~50K to ~500K Words 32 bit Move Data IRQ signal Move Task Unpack frames Move Data Task PPS IRQ Handler Sync. to PPS. Update DMA Desc. tables Block Processing & Packaging Task H H 3 4 DMA Transfer Descriptor Tables ISP Packets probably <=2KB size Space Wire PPS IRQ Handler Sync. to PPS. Update DMA Desc. tables Stream Processing & Packaging Task H H 3 4 DMA Transfer Descriptor Tables ISP Packets probably <=2KB size Space Wire Example block processing => FFT, Example Stream => Digital filtering. Note buffer memory estimates above assume 8MB of RAM memory. A balance should be achieved between centralized processing (DPU) and localized processing (HF,MF,LF) and at the same time minimizing hardware locally to reduce power consumption and mass, thus share the central processing capability as much as possible.

6 Software Design, Interrupts We have many interrupts! (consequence of integrating) - 4 to 5 / s - 2 DMA (For both design A and B TBC) - 1 PPS - 1 RTEMS Task Timer - 2 Secondary redundant space wire link? 8 to 11 totally others? More than a LEON 2/3 processor with only one primary interrupt controller can handle! We need to add a secondary interrupt controller. Interrupts must not occur to often low frequency - Each interrupt has an overhead that accumulates Spend as little time as possible in each interrupt - Interrupts block each other - One interrupt can be pending - Even with implementing nesting ~50us of each interrupt block others Experience from SWARM & RTEMS estimated max IRQ frequency: SYS_CLK/10000/NO_OF_INTERRUPTS = 24e6/10000/9=267Hz Note above is a rough estimate applying a factor 10 margin. The interrupt design must be carefully done.

7 Software Design, Datation/Timestamping and Synchronization Different methods might be needed to accurately timestamp data for the different analyzers LF,HF,MF. 1. Counting backwards LF,HF,MF analyzers sample continuously if data not needed (due to duty cycle) it is discarded When creating a data package the instrument will count backwards from the present spacecraft time to determine the start time for the data within the packet. + Easy to implement - Not so accurate - Instrument running continuously with reduction and consuming power. 2. Link Adds Skew LF,HF,MF analyzers sample continuously if data not needed (due to duty cycle) it is discarded When the sends data over the link to the DPU it includes a skew (delta time) at the end of each frame between the last PPS pulse and the first reduced output sample. The skew is based on a common system clock. Suitable for continuous streams with high reduction like LF. + Accurate - A bit tricky to keep track of what PPS pulse the data belongs to. - Instrument running continuously with reduction and consuming power.

8 Software Design, Datation and Synchronization (Continued.) 3. Synchronize to PPS pulses DPU Controlled Instruments sample during intervals each interval starts on a PPS pulse. Each interval is controlled by the DPU by writing (anything) to registers: Write to the register Start on next PPS pulse Write to the register Stop Write to the register Start on next PPS pulse, stop when done Not suitable for continuous streams with high reduction like LF. Suitable for snapshots,bursts anything that run in intervals. + Accurate + Instrument do not run sampling/reduction continuously (saves power) + Easy to control sequencing/duty-cycle from DPU + Easier to keep track of a data period and the corresponding PPS pulse, some cases it can be difficult high duty cycle.

9 Software Design, Datation and Synchronization (Continued.) 4. Synchronize to PPS pulses DPU Control and frame tagging Instruments sample during intervals each interval starts on a PPS pulse. Each interval is controlled by the DPU by writing to registers: Write to the register Start on next PPS pulse, tag frames with tag bits, stop when done These tag bits should then be returned in each data frame corresponding to the interval, care must be taken that writing to this register do not affect the tag bits already in use for the present interval. Tag bits could be 1-4 bits (TBC) counting up in a cyclic manner, thus enforcing the DPU the instrument and the data to be in phase with known PPS pulses, it will also recover quickly from errors in the next frame. Not suitable for continuous streams with high reduction like LF. Suitable for snapshots,bursts anything that run in intervals. + Accurate + Instrument do not run sampling/reduction continuously (saves power) + Easy to control sequencing/duty-cycle from DPU + Always easy for software to to keep track of a data interval and corresponding PPS pulse by checking the tag bits in each frame. To increase datation accuracy further down to the sample period accuracy, one could possibly (TBC) create a version of the PPS pulse that is synchronized to the overall system clock if such a clock will exist. One would also need to compute the spacecraft time for this version of the PPS pulse, that is straightforward.

10 Software design, Sequencing and Duty Cycle What should the instruments do? and when? (PPS time base) Example of a LP-PWI sequence: Sweep Bias, Fix Density,Active Density, E-field.. repeat Automatic in software or done from S/C using OBCPs. What do we need and how flexible does it need to be? C O M P L E X I T Y SEQUENCE None Space Craft Fixed Multiple fixed Description Always do the same until otherwise commanded (but different for each instrument) with certain duty cycle (period) and offset. The S/C creates sequences using OBCPs and send commands, lots of commands from S/C. A fix sequence for each instrument, order of what to do can not be rearranged but parts can be turned on and off. Integrated in software structure more than one sequence not possible. As fixed but more than one sequence in memory and flash. F L E X I B I L I T Y Programmable When to do something and what fully programmable for each instrument. der of what to do can be changed. More than one sequence in memory and flash. PPS time base, period and offset means: if((pps_period_counter+offset) % period)==0) then do

11 Start Example of a sequence from SWARM Internal Offset Calibration 3s Zero Harmonic 1s Loop 124 times Sweep 1s Loop Forever Zero Harmonic 1s Loop 126 times Loop 167 times Note that the loops do not include the first time a LP function is executed. Duration of one cycle is then: (3+1*125)+(1+1*127)*168 = s Note that the normal mode is updated to always stay synchronized with a 128s period thus 21632=128*169. Since the increase of the Offset calibration function duration from 1s to 3s broke this synchronization.

12 Quality Assurance and Standards Instrument and Software Interface Requirements inside the EIDA (Will be based on following, ECSS-E-70 is useful to look at) Telemetry and Telecommand Packet Utilization, ECSS-E-70-41A, January 2003 Telemetry Channel Coding, CCSDS B-6 Packet Telemetry, CCSDS B-5 Telecommand Part 1. Channel Service, CCSDS B-3 Telecommand Part 2. Data Routing Service, CCSDS B-3 Telecommand Part 2.1 Command Operation Procedures, CCSDS B-2 Telecommand Part 3. Data Management Service, CCSDS B-2 Time Code Formats, CCSDS B-3 Software Quality Assurance ECSS-E-ST-40 Space engineering Software general requirements ECSS-Q-ST-80 Space product assurance Software product assurance Coding Standard (We will use our own version loosely based on this) BSSC(2000)1 Issue 1 C and C++ Coding Standards (Applicable?)

13 Software Development etc. Software Version Control System - SVN or GIT repository - Flight Software repository teams read access - Separate repositories for each team with read write access - System designer integrates into flight software repository RPWI Wiki Document Server Instrument logging and version control - Each instrument/sensor/board/main unit should have a hard coded unit id number readable by software. - Software version hard coded in software, preferably in fix position => easy verification of files. - All unit ids and software version then go into HK. - Unit ids are very useful to identify old data sets on Earth during development. (Each should have hard coded registers to verify read, and last-written register to verify read and write) Timing Debugging - To verify and debug timing non intrusively we need at least 4 reserved IO pins (GPIO or )

14 Estimated work hours Rough estimation! based on experience from SWARM and Rosetta Task Overall system design, minimum software testing and basic documentation. Processing algorithms (6 instruments with MIME) Flight software Integration and testing time! Processing algorithms for each team Design implementation and development Months *6 = *6 = 27 TOTAL (Sequential): 64 (5.3 years) TOTAL (Parallell): (3.27 years) Note that each team work in parallel with each other but they can not work fully In parallel with Flight software integration and testing (feedback). If we assume each team work in parallel with integration and testing 50% of the time and 1 person working on flight software the total duration would roughly be: Total time with parallel tasks: ( /2)= Months = ~3.27 Years Also note that above do not include time for QA.

15 Software To do list Boot loader Boot loader communication Boot loader flash memory write/read checksums and boot Flight software General system design TM Data DMA Tx irq handler TC Data DMA Rx irq handler TM/TC control tasks TC Parsing task House Keeping assembly Packet generation task Watching critical limits enter safe mode Event reporting event queues normal warning,critical etc. Error handling software exceptions, traps and events Kicking watchdog Flight software Maintenance mode, memory dumps/uploads Maintenance mode, software patching Maintenance mode, patching of data tables and memory areas Maintenance mode, duplicate boot loader functionality Maintenance mode, integrity tests memory tests Operational mode, Sequencing and control task Operational mode, Datation software Operational mode, Reconfiguration software part of TC parsing. Operational mode, Internal calibration software Operational mode, Data moving tasks/interrupts Operational mode, Processing/data packaging tasks Operational mode, Processing software scientific algorithms Safe mode, Turn off any high voltages save critical data to flash Define data packets Define commands and commanding philosophy Define event packets Define HK packet Define Internal data frames

Product Technical Brief S3C2440X Series Rev 2.0, Oct. 2003

Product Technical Brief S3C2440X Series Rev 2.0, Oct. 2003 Product Technical Brief S3C2440X Series Rev 2.0, Oct. 2003 S3C2440X is a derivative product of Samsung s S3C24XXX family of microprocessors for mobile communication market. The S3C2440X s main enhancement

More information

Video Processing Chain VPC2 SpaceWire Networking Protocol Meeting July 2005

Video Processing Chain VPC2 SpaceWire Networking Protocol Meeting July 2005 Video Processing Chain VPC2 SpaceWire Networking Protocol Meeting 4 19-20-21 July 2005 Page 1 Summary VPC2 and SPADA_RT Activity VPC2 Architecture Data Exchange VPC2 RMAP Implementation Issue FPGA Implementation

More information

Executive Summary. Functional and Performance Validation of the 80S32 µc. Deliverable D5.2 - Report Workpackage 5 Evaluation Kit

Executive Summary. Functional and Performance Validation of the 80S32 µc. Deliverable D5.2 - Report Workpackage 5 Evaluation Kit Functional and Performance Validation of the 80S32 µc Executive Summary Deliverable D5.2 - Report Workpackage 5 Evaluation Kit Author(s): T.Lampaounas, C.Papadas, E.Politis, G.Dramitinos, K.Makris Reviewer(s):

More information

Product Technical Brief S3C2413 Rev 2.2, Apr. 2006

Product Technical Brief S3C2413 Rev 2.2, Apr. 2006 Product Technical Brief Rev 2.2, Apr. 2006 Overview SAMSUNG's is a Derivative product of S3C2410A. is designed to provide hand-held devices and general applications with cost-effective, low-power, and

More information

Product Technical Brief S3C2412 Rev 2.2, Apr. 2006

Product Technical Brief S3C2412 Rev 2.2, Apr. 2006 Product Technical Brief S3C2412 Rev 2.2, Apr. 2006 Overview SAMSUNG's S3C2412 is a Derivative product of S3C2410A. S3C2412 is designed to provide hand-held devices and general applications with cost-effective,

More information

System Approach for a SpaceWire Network Template reference : C-EN

System Approach for a SpaceWire Network Template reference : C-EN System Approach for a SpaceWire Network Template reference : 100181700C-EN Prepared by Stephane DETHEVE / Bruno MASSON PLAN Page 2 SYSTEM APPROACH FOR A SPACEWIRE NETWORK INTRODUCTION SIMULATION BREADBOARDING

More information

HIGH PERFORMANCE PPC BASED DPU WITH SPACEWIRE RMAP PORT

HIGH PERFORMANCE PPC BASED DPU WITH SPACEWIRE RMAP PORT High Performance PPC Based DPU With SpaceWire RMAP Port HIGH PERFORMANCE PPC BASED DPU WITH SPACEWIRE RMAP PORT Session: SpaceWire Components Short Paper Pekka Seppä, Petri Portin Patria Aviation Oy, Systems/Space,

More information

Fifth SpaceWire WG Meeting SpaceWire based On-Board-Computer

Fifth SpaceWire WG Meeting SpaceWire based On-Board-Computer Fifth SpaceWire WG Meeting 15. - 17.11.2005 SpaceWire based On-Board-Computer On Board Computer Concept Page 2 OBC Concept -Aims- Based on ERC32 32-bit processor cores Fully redundant Each function provided

More information

SpaceWire Remote Terminal Controller

SpaceWire Remote Terminal Controller Remote Terminal Controller Presented by Jørgen Ilstad On board Payload Data Section, ESTEC Wahida Gasti, ESA ESTEC Co Authors Sandi Habinc, Gaisler Research Peter Sinander, SAAB Space Slide : 1 Overview

More information

GR712RC A MULTI-PROCESSOR DEVICE WITH SPACEWIRE INTERFACES

GR712RC A MULTI-PROCESSOR DEVICE WITH SPACEWIRE INTERFACES GR712RC A MULTI-PROCESSOR DEVICE WITH SPACEWIRE INTERFACES Session: SpaceWire Components Short Paper Sandi Habinc, Jiri Gaisler Aeroflex Gaisler, Kungsgatan 12, SE-411 19 Göteborg, Sweden sandi@gaisler.com

More information

Bepi Colombo MMO Data Handling System SpaceWire-RMAP Interface

Bepi Colombo MMO Data Handling System SpaceWire-RMAP Interface SpaceWire Working Group Meeting #11 June 10/11, 2008 ESA/ESTEC Bepi Colombo MMO Data Handling System SpaceWire-RMAP Interface Contributors: S. Davy, LESIA, Observatoire de Paris, France (implementation

More information

PowerPC- based Processor Modules for Space Applications

PowerPC- based Processor Modules for Space Applications PowerPC- based Processor Modules for Space Applications Patria Systems OY Jaakko Toivonen 12.09.2006 PowerPC- based Single Board Computers Two Single Board Computer (SBC) modules targeted for space applications:

More information

Multi-DSP/Micro-Processor Architecture (MDPA)

Multi-DSP/Micro-Processor Architecture (MDPA) Multi-DSP/Micro-Processor Architecture (MDPA) Microelectronics Presentation Days 2010 30 March 2010, ESA/ESTEC, Noordwijk T. Helfers; E. Lembke; P. Rastetter; O. Ried Astrium GmbH Content Motivation MDPA

More information

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info.

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info. A FPGA based development platform as part of an EDK is available to target intelop provided IPs or other standard IPs. The platform with Virtex-4 FX12 Evaluation Kit provides a complete hardware environment

More information

Migrating from the UT699 to the UT699E

Migrating from the UT699 to the UT699E Standard Products Application Note Migrating from the UT699 to the UT699E January 2015 www.aeroflex.com/leon Table 1.1 Cross Reference of Applicable Products Product Name: Manufacturer Part Number SMD

More information

Intellectual Property Macrocell for. SpaceWire Interface. Compliant with AMBA-APB Bus

Intellectual Property Macrocell for. SpaceWire Interface. Compliant with AMBA-APB Bus Intellectual Property Macrocell for SpaceWire Interface Compliant with AMBA-APB Bus L. Fanucci, A. Renieri, P. Terreni Tel. +39 050 2217 668, Fax. +39 050 2217522 Email: luca.fanucci@iet.unipi.it - 1 -

More information

Processor and Peripheral IP Cores for Microcontrollers in Embedded Space Applications

Processor and Peripheral IP Cores for Microcontrollers in Embedded Space Applications Processor and Peripheral IP Cores for Microcontrollers in Embedded Space Applications Presentation at ADCSS 2010 MESA November 4 th, 2010 www.aeroflex.com/gaisler Presentation outline Microcontroller requirements

More information

New! New! New! New! New!

New! New! New! New! New! New! New! New! New! New! Models 72664, Model 74664 Model 73664 General Information Models 72664, are members of the Cobalt family of high-performance CompactPCI s based on the Xilinx Virtex-6 FPGA. They

More information

SpaceWire IP Cores for High Data Rate and Fault Tolerant Networking

SpaceWire IP Cores for High Data Rate and Fault Tolerant Networking SpaceWire IP Cores for High Data Rate and Fault Tolerant Networking E. Petri 1,2, T. Bacchillone 1,2, N. E. L Insalata 1,2, T. Cecchini 1, I. Del Corona 1,S. Saponara 1, L. Fanucci 1 (1) Dept. of Information

More information

KeyStone C665x Multicore SoC

KeyStone C665x Multicore SoC KeyStone Multicore SoC Architecture KeyStone C6655/57: Device Features C66x C6655: One C66x DSP Core at 1.0 or 1.25 GHz C6657: Two C66x DSP Cores at 0.85, 1.0, or 1.25 GHz Fixed and Floating Point Operations

More information

Introduction to ARM LPC2148 Microcontroller

Introduction to ARM LPC2148 Microcontroller Introduction to ARM LPC2148 Microcontroller Dr.R.Sundaramurthy Department of EIE Pondicherry Engineering College Features of LPC2148 in a Nut Shell CPU = ARM 7 Core Word Length = 32 Bit ROM = 512 KB RAM

More information

The Geostationary Operational Satellite R Series (GOES-R) SpaceWire Implementation

The Geostationary Operational Satellite R Series (GOES-R) SpaceWire Implementation The Geostationary Operational Satellite R Series (GOES-R) SpaceWire Implementation Session: SpaceWire Missions and Applications William H. Anderson NASA Goddard Space Flight Center/MEI Technologies E-mail:

More information

Microcontrollers Applications within Thales Alenia Space products

Microcontrollers Applications within Thales Alenia Space products ADCSS 2010 Microcontrollers for Embedded Space Applications (MESA) Roundtable Template reference : 100181670S-EN Microcontrollers Applications within Thales Alenia Space products Presented by: M.L. Esposti

More information

IRIG-106 PCM IMPLEMENTATION UTILIZING CONSULTATIVE COMMITTEE FOR SPACE DATA SYSTEMS (CCSDS)

IRIG-106 PCM IMPLEMENTATION UTILIZING CONSULTATIVE COMMITTEE FOR SPACE DATA SYSTEMS (CCSDS) IRIG-106 PCM IMPLEMENTATION UTILIZING CONSULTATIVE COMMITTEE FOR SPACE DATA SYSTEMS (CCSDS) by Casey Tubbs SCI Technology, Inc. 8600 South Memorial Pkwy Huntsville, Alabama 35802 (205) 882-4267 ABSTRACT

More information

AVR XMEGA Product Line Introduction AVR XMEGA TM. Product Introduction.

AVR XMEGA Product Line Introduction AVR XMEGA TM. Product Introduction. AVR XMEGA TM Product Introduction 32-bit AVR UC3 AVR Flash Microcontrollers The highest performance AVR in the world 8/16-bit AVR XMEGA Peripheral Performance 8-bit megaavr The world s most successful

More information

ECE 485/585 Microprocessor System Design

ECE 485/585 Microprocessor System Design Microprocessor System Design Lecture 16: PCI Bus Serial Buses Zeshan Chishti Electrical and Computer Engineering Dept. Maseeh College of Engineering and Computer Science Source: Lecture based on materials

More information

ECE 485/585 Microprocessor System Design

ECE 485/585 Microprocessor System Design Microprocessor System Design Lecture 17: Serial Buses USB Disks and other I/O Zeshan Chishti Electrical and Computer Engineering Dept. Maseeh College of Engineering and Computer Science Source: Lecture

More information

TELECOMMAND AND TELEMETRY COMPONENTS FOR TODAY AND TOMORROW

TELECOMMAND AND TELEMETRY COMPONENTS FOR TODAY AND TOMORROW TELECOMMAND AND TELEMETRY COMPONENTS FOR TODAY AND TOMORROW P. Sinander, S. Habinc Control, Data and Power Division, Directorate of Technical and Operational Support European Space Agency, PO. Box 299,

More information

The control of I/O devices is a major concern for OS designers

The control of I/O devices is a major concern for OS designers Lecture Overview I/O devices I/O hardware Interrupts Direct memory access Device dimensions Device drivers Kernel I/O subsystem Operating Systems - June 26, 2001 I/O Device Issues The control of I/O devices

More information

Infineon C167CR microcontroller, 256 kb external. RAM and 256 kb external (Flash) EEPROM. - Small single-board computer (SBC) with an

Infineon C167CR microcontroller, 256 kb external. RAM and 256 kb external (Flash) EEPROM. - Small single-board computer (SBC) with an Microcontroller Basics MP2-1 week lecture topics 2 Microcontroller basics - Clock generation, PLL - Address space, addressing modes - Central Processing Unit (CPU) - General Purpose Input/Output (GPIO)

More information

The SpaceWire RTC: Remote Terminal Controller

The SpaceWire RTC: Remote Terminal Controller SpaceWire-SnP Working Group ESTEC, Sept 15 th, 2004 The : Remote Terminal Controller Data Systems Division luca.tunesi@esa.int ESTEC, Sept 15 th, 2004 slide: 1 Background: the OPDPS Low/Med. Speed Bus:CAN

More information

Standardisation of PF/PL interfaces TAS point of view

Standardisation of PF/PL interfaces TAS point of view ADCSS-2014 workshop Day 3 ESTEC October 29, 2014 30/10/2014 Standardisation of PF/PL interfaces TAS point of view 83230352-DOC-TAS-EN-002 Ref.: Agenda For Proteus, H/P, Sentinel 3, Telecom, the following

More information

LEON3-Fault Tolerant Design Against Radiation Effects ASIC

LEON3-Fault Tolerant Design Against Radiation Effects ASIC LEON3-Fault Tolerant Design Against Radiation Effects ASIC Microelectronic Presentation Days 3 rd Edition 7 March 2007 Table of Contents Page 2 Project Overview Context Industrial Organization LEON3-FT

More information

SpaceWire-RT. SpaceWire-RT Status SpaceWire-RT IP Core ASIC Feasibility SpaceWire-RT Copper Line Transceivers

SpaceWire-RT. SpaceWire-RT Status SpaceWire-RT IP Core ASIC Feasibility SpaceWire-RT Copper Line Transceivers SpaceWire-RT SpaceWire-RT Status SpaceWire-RT IP Core ASIC Feasibility SpaceWire-RT Copper Line Transceivers 1 Overview of SpaceWire-RT Project Aims The SpaceWire-RT research programme aims to: Conceive

More information

Page 1 SPACEWIRE SEMINAR 4/5 NOVEMBER 2003 JF COLDEFY / C HONVAULT

Page 1 SPACEWIRE SEMINAR 4/5 NOVEMBER 2003 JF COLDEFY / C HONVAULT Page 1 SPACEWIRE SEMINAR 4/5 NOVEMBER 2003 JF COLDEFY / C HONVAULT INTRODUCTION The SW IP was developped in the frame of the ESA 13345/#3 contract "Building block for System on a Chip" This presentation

More information

Design and development of embedded systems for the Internet of Things (IoT) Fabio Angeletti Fabrizio Gattuso

Design and development of embedded systems for the Internet of Things (IoT) Fabio Angeletti Fabrizio Gattuso Design and development of embedded systems for the Internet of Things (IoT) Fabio Angeletti Fabrizio Gattuso Microcontroller It is essentially a small computer on a chip Like any computer, it has memory,

More information

Lunar Reconnaissance Orbiter (LRO)

Lunar Reconnaissance Orbiter (LRO) Lunar Reconnaissance Orbiter (LRO) CRaTER Technical Interchange Meeting C&DH Flight Software April 14, 2005 1 C&DH Software Overview Command and Data Handling (C&DH) includes the following functions: Decoding

More information

Network Embedded Systems Sensor Networks Fall Hardware. Marcus Chang,

Network Embedded Systems Sensor Networks Fall Hardware. Marcus Chang, Network Embedded Systems Sensor Networks Fall 2013 Hardware Marcus Chang, mchang@cs.jhu.edu 1 Embedded Systems Designed to do one or a few dedicated and/or specific functions Embedded as part of a complete

More information

KeyStone C66x Multicore SoC Overview. Dec, 2011

KeyStone C66x Multicore SoC Overview. Dec, 2011 KeyStone C66x Multicore SoC Overview Dec, 011 Outline Multicore Challenge KeyStone Architecture Reminder About KeyStone Solution Challenge Before KeyStone Multicore performance degradation Lack of efficient

More information

Silberschatz and Galvin Chapter 12

Silberschatz and Galvin Chapter 12 Silberschatz and Galvin Chapter 12 I/O Systems CPSC 410--Richard Furuta 3/19/99 1 Topic overview I/O Hardware Application I/O Interface Kernel I/O Subsystem Transforming I/O requests to hardware operations

More information

Ten Reasons to Optimize a Processor

Ten Reasons to Optimize a Processor By Neil Robinson SoC designs today require application-specific logic that meets exacting design requirements, yet is flexible enough to adjust to evolving industry standards. Optimizing your processor

More information

Interrupt/Timer/DMA 1

Interrupt/Timer/DMA 1 Interrupt/Timer/DMA 1 Exception An exception is any condition that needs to halt normal execution of the instructions Examples - Reset - HWI - SWI 2 Interrupt Hardware interrupt Software interrupt Trap

More information

WS_CCESBF7-OUT-v1.00.doc Page 1 of 8

WS_CCESBF7-OUT-v1.00.doc Page 1 of 8 Course Name: Course Code: Course Description: System Development with CrossCore Embedded Studio (CCES) and the ADSP-BF70x Blackfin Processor Family WS_CCESBF7 This is a practical and interactive course

More information

ETH. Ethernet MAC with Timestamp Extension. TCD30xx User Guide. Revision July 17, 2015

ETH. Ethernet MAC with Timestamp Extension. TCD30xx User Guide. Revision July 17, 2015 TCD30xx User Guide ETH Ethernet MAC with Timestamp Extension Revision 1.0.0-41582 July 17, 2015 Copyright 2015, TC Applied Technologies. All rights reserved. LIST OF TABLES... 16-3 LIST OF FIGURES... 16-4

More information

Product Technical Brief S3C2416 May 2008

Product Technical Brief S3C2416 May 2008 Product Technical Brief S3C2416 May 2008 Overview SAMSUNG's S3C2416 is a 32/16-bit RISC cost-effective, low power, high performance micro-processor solution for general applications including the GPS Navigation

More information

FPGA design with National Instuments

FPGA design with National Instuments FPGA design with National Instuments Rémi DA SILVA Systems Engineer - Embedded and Data Acquisition Systems - MED Region ni.com The NI Approach to Flexible Hardware Processor Real-time OS Application software

More information

L3Obis: Leon3-based Onboard Instrument Software A case study using TASTE. Daniel Tonoiu

L3Obis: Leon3-based Onboard Instrument Software A case study using TASTE. Daniel Tonoiu L3Obis: Leon3-based Onboard Instrument Software A case study using TASTE Daniel Tonoiu L3Obis Purpuse Alpha version of a flight application software for an On-Board Instrument Control Unit Properties:

More information

Ensuring Schedulability of Spacecraft Flight Software

Ensuring Schedulability of Spacecraft Flight Software Ensuring Schedulability of Spacecraft Flight Software Flight Software Workshop 7-9 November 2012 Marek Prochazka & Jorge Lopez Trescastro European Space Agency OUTLINE Introduction Current approach to

More information

H0420 Programmable MP3 Audio Player for Exhibitions and Kiosk Applications. Firmware Update: Version 1.2 Build 3508

H0420 Programmable MP3 Audio Player for Exhibitions and Kiosk Applications. Firmware Update: Version 1.2 Build 3508 H0420 Programmable MP3 Audio Player for Exhibitions and Kiosk Applications Firmware Update: Version 1.2 Build 3508 For upgrading to the new firmware, please see page 4 for the procedure. This document

More information

DSP/BIOS Kernel Scalable, Real-Time Kernel TM. for TMS320 DSPs. Product Bulletin

DSP/BIOS Kernel Scalable, Real-Time Kernel TM. for TMS320 DSPs. Product Bulletin Product Bulletin TM DSP/BIOS Kernel Scalable, Real-Time Kernel TM for TMS320 DSPs Key Features: Fast, deterministic real-time kernel Scalable to very small footprint Tight integration with Code Composer

More information

All Frames Recept. VC Pkt Extraction VC Reception VC Demux. MC Demux. Data Link Protocol Sub-Layer VC0 VC1. VC2 AHB DMA 2k FIFO

All Frames Recept. VC Pkt Extraction VC Reception VC Demux. MC Demux. Data Link Protocol Sub-Layer VC0 VC1. VC2 AHB DMA 2k FIFO Features CCSDS/ECSS compatible Telemetry Encoder and Telecommand Decoder Telemetry encoder implements in hardware part of protocol sub-layer, synchronization & channel coding sub-layer, and part of physical

More information

Memory Expansion. Lecture Embedded Systems

Memory Expansion. Lecture Embedded Systems Memory Expansion Lecture 22 22-1 In These Notes... Memory Types Memory Expansion Interfacing Parallel Serial Direct Memory Access controllers 22-2 Memory Characteristics and Issues Volatility - Does it

More information

Implimentation of SpaceWire Standard in SpaceWire CODEC using VHDL

Implimentation of SpaceWire Standard in SpaceWire CODEC using VHDL International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 9, Issue 2 (November 2013), PP. 36-40 Implimentation of SpaceWire Standard in SpaceWire

More information

Table 1: Cross Reference of Applicable Products

Table 1: Cross Reference of Applicable Products Standard Product Enable the Ethernet MAC Controller Module Application Note September 29, 2017 The most important thing we build is trust Table 1: Cross Reference of Applicable Products PRODUCT NAME MANUFACTURER

More information

ARDUINO MEGA INTRODUCTION

ARDUINO MEGA INTRODUCTION ARDUINO MEGA INTRODUCTION The Arduino MEGA 2560 is designed for projects that require more I/O llines, more sketch memory and more RAM. With 54 digital I/O pins, 16 analog inputs so it is suitable for

More information

The purpose of this course is to provide an introduction to the RL78's flash features and archectecture including security features, code and data

The purpose of this course is to provide an introduction to the RL78's flash features and archectecture including security features, code and data 1 The purpose of this course is to provide an introduction to the RL78's flash features and archectecture including security features, code and data flash organization as well as self and external programming

More information

Multi-DSP/Micro-Processor Architecture (MDPA) Paul Rastetter Astrium GmbH

Multi-DSP/Micro-Processor Architecture (MDPA) Paul Rastetter Astrium GmbH Multi-DSP/Micro-Processor Architecture (MDPA) Paul Rastetter Astrium GmbH Astrium ASE2 MDPA for New Generation Processor (NGP) Payload Control Processor MDPA (Multi-DSP/ µprocessor Architecture) features:

More information

FYS Data acquisition & control. Introduction. Spring 2018 Lecture #1. Reading: RWI (Real World Instrumentation) Chapter 1.

FYS Data acquisition & control. Introduction. Spring 2018 Lecture #1. Reading: RWI (Real World Instrumentation) Chapter 1. FYS3240-4240 Data acquisition & control Introduction Spring 2018 Lecture #1 Reading: RWI (Real World Instrumentation) Chapter 1. Bekkeng 14.01.2018 Topics Instrumentation: Data acquisition and control

More information

ESA IPs & SoCs developments

ESA IPs & SoCs developments ESA IPs & SoCs developments Picture courtesy of: Lightwave esearch Laboratory Columbia University NY 1 ESA IP cores portfolio Processor Leon2 FT Fault tolerant Sparc V8 architecture Data handling Interfaces

More information

I/O Systems. Amir H. Payberah. Amirkabir University of Technology (Tehran Polytechnic)

I/O Systems. Amir H. Payberah. Amirkabir University of Technology (Tehran Polytechnic) I/O Systems Amir H. Payberah amir@sics.se Amirkabir University of Technology (Tehran Polytechnic) Amir H. Payberah (Tehran Polytechnic) I/O Systems 1393/9/15 1 / 57 Motivation Amir H. Payberah (Tehran

More information

Spring 2017 :: CSE 506. Device Programming. Nima Honarmand

Spring 2017 :: CSE 506. Device Programming. Nima Honarmand Device Programming Nima Honarmand read/write interrupt read/write Spring 2017 :: CSE 506 Device Interface (Logical View) Device Interface Components: Device registers Device Memory DMA buffers Interrupt

More information

WS_CCESSH5-OUT-v1.01.doc Page 1 of 7

WS_CCESSH5-OUT-v1.01.doc Page 1 of 7 Course Name: Course Code: Course Description: System Development with CrossCore Embedded Studio (CCES) and the ADI ADSP- SC5xx/215xx SHARC Processor Family WS_CCESSH5 This is a practical and interactive

More information

Building Blocks For System on a Chip Spacecraft Controller on a Chip

Building Blocks For System on a Chip Spacecraft Controller on a Chip PIO/TEST/WDOGN/ 19 ERRORN 2 Clock, Reset CT_PULSE CT_EVENT 4 4 4 SWB0 : Space Wire SWB1 : Space Wire SWB2 : Space Wire HKP Housekeeping Packetizer Context RA CT CCSDS Time anager SWT SWITCH ATRIX IT from

More information

High Accuracy Time Synchronization over SpaceWire Networks

High Accuracy Time Synchronization over SpaceWire Networks High Accuracy Time Synchronization over SpaceWire Networks Final Report SPWCUC-REP-0004 Version 1.0 18 December 2013 i Final Report ESTEC Contract 400105931 EUROPEAN SPACE AGENCY CONTRACT REPORT The work

More information

A common basic SW and DPU platform for the JUICE mission Instruments

A common basic SW and DPU platform for the JUICE mission Instruments The most important thing we build is trust ADVANCED ELECTRONIC SOLUTIONS AVIATION SERVICES COMMUNICATIONS AND CONNECTIVITY MISSION SYSTEMS A common basic SW and DPU platform for the JUICE mission Instruments

More information

AT-501 Cortex-A5 System On Module Product Brief

AT-501 Cortex-A5 System On Module Product Brief AT-501 Cortex-A5 System On Module Product Brief 1. Scope The following document provides a brief description of the AT-501 System on Module (SOM) its features and ordering options. For more details please

More information

Rad-Hard Microcontroller For Space Applications

Rad-Hard Microcontroller For Space Applications The most important thing we build is trust ADVANCED ELECTRONIC SOLUTIONS AVIATION SERVICES COMMUNICATIONS AND CONNECTIVITY MISSION SYSTEMS Rad-Hard Microcontroller For Space Applications Fredrik Johansson

More information

CHAPTER 3 WIRELESS MEASUREMENT INSTRUMENT

CHAPTER 3 WIRELESS MEASUREMENT INSTRUMENT CHAPTER 3 WIRELESS MEASUREMET ISTRUMET This chapter gives a functional description of the WMI hardware and software for implementation in IVDS. A detailed technical description is not given, but is provided

More information

SpaceWire IP for Actel Radiation Tolerant FPGAs

SpaceWire IP for Actel Radiation Tolerant FPGAs SpaceWire IP for Actel Radiation Tolerant FPGAs Steve Parkes, Chris McClements Space Technology Centre, University of Dundee Zaf Mahmood Actel UK 1 Actel RTAX-S Devices 2 Radiation tolerant FPGAs Non-volatile

More information

SpaceWire ECSS-E50-12A International SpaceWire Seminar (ISWS 2003)

SpaceWire ECSS-E50-12A International SpaceWire Seminar (ISWS 2003) SpaceWire ECSS-E50-12A International SpaceWire Seminar (ISWS 2003) 4-5 November 2003, ESTEC Noordwijk, The Netherlands Steve Parkes (1), Josep Rosello (2) (1) University of Dundee, Applied Computing, Dundee,

More information

I/O. Fall Tore Larsen. Including slides from Pål Halvorsen, Tore Larsen, Kai Li, and Andrew S. Tanenbaum)

I/O. Fall Tore Larsen. Including slides from Pål Halvorsen, Tore Larsen, Kai Li, and Andrew S. Tanenbaum) I/O Fall 2011 Tore Larsen Including slides from Pål Halvorsen, Tore Larsen, Kai Li, and Andrew S. Tanenbaum) Big Picture Today we talk about I/O characteristics interconnection devices & controllers (disks

More information

I/O. Fall Tore Larsen. Including slides from Pål Halvorsen, Tore Larsen, Kai Li, and Andrew S. Tanenbaum)

I/O. Fall Tore Larsen. Including slides from Pål Halvorsen, Tore Larsen, Kai Li, and Andrew S. Tanenbaum) I/O Fall 2010 Tore Larsen Including slides from Pål Halvorsen, Tore Larsen, Kai Li, and Andrew S. Tanenbaum) Big Picture Today we talk about I/O characteristics interconnection devices & controllers (disks

More information

Space-to-Ground Data Viewer (S2G) & DFDL for Space Library (DFDL4S)

Space-to-Ground Data Viewer (S2G) & DFDL for Space Library (DFDL4S) Space-to-Ground Data Viewer (S2G) & DFDL for Space Library (DFDL4S) M. Zundo (1), M. Piñol Solé (1), R. Mestre (2), A. Gutierrez (2) (1) European Space Agency ESTEC The Netherlands (2) DEIMOS Engenharia

More information

SPECS : A SERIAL PROTOCOL FOR EXPERIMENT CONTROL SYSTEM IN LHCB.

SPECS : A SERIAL PROTOCOL FOR EXPERIMENT CONTROL SYSTEM IN LHCB. 10th ICALEPCS Int. Conf. on Accelerator & Large Expt. Physics Control Systems. Geneva, 10-14 Oct 2005, WE1.5-4O (2005) : A SERIAL PROTOCOL FOR EXPERIMENT CONTROL SYSTEM IN LHCB. D.Breton, 1 D.Charlet,

More information

EagleEye TSP Porting to HWIL Configuration (RTB)

EagleEye TSP Porting to HWIL Configuration (RTB) EagleEye TSP Porting to HWIL Configuration (RTB) Final project presentation 12.12.2017 Presenter: Dharma Teja Srungavruksham Overview_ Background Team Goals Execution Results Future Background_ EagleEye

More information

The Nios II Family of Configurable Soft-core Processors

The Nios II Family of Configurable Soft-core Processors The Nios II Family of Configurable Soft-core Processors James Ball August 16, 2005 2005 Altera Corporation Agenda Nios II Introduction Configuring your CPU FPGA vs. ASIC CPU Design Instruction Set Architecture

More information

V8uC: Sparc V8 micro-controller derived from LEON2-FT

V8uC: Sparc V8 micro-controller derived from LEON2-FT V8uC: Sparc V8 micro-controller derived from LEON2-FT ESA Workshop on Avionics Data, Control and Software Systems Noordwijk, 4 November 2010 Walter Errico SITAEL Aerospace phone: +39 0584 388398 e-mail:

More information

Universal Serial Bus Host Interface on an FPGA

Universal Serial Bus Host Interface on an FPGA Universal Serial Bus Host Interface on an FPGA Application Note For many years, designers have yearned for a general-purpose, high-performance serial communication protocol. The RS-232 and its derivatives

More information

Am186ER/Am188ER AMD continues 16-bit innovation

Am186ER/Am188ER AMD continues 16-bit innovation Am186ER/Am188ER AMD continues 16-bit innovation 386-Class Performance, Enhanced System Integration, and Built-in SRAM Am186ER and Am188ER Am186 System Evolution 80C186 Based 3.37 MIP System Am186EM Based

More information

New System Solutions for Laser Printer Applications by Oreste Emanuele Zagano STMicroelectronics

New System Solutions for Laser Printer Applications by Oreste Emanuele Zagano STMicroelectronics New System Solutions for Laser Printer Applications by Oreste Emanuele Zagano STMicroelectronics Introduction Recently, the laser printer market has started to move away from custom OEM-designed 1 formatter

More information

The Embedded computing platform. Four-cycle handshake. Bus protocol. Typical bus signals. Four-cycle example. CPU bus.

The Embedded computing platform. Four-cycle handshake. Bus protocol. Typical bus signals. Four-cycle example. CPU bus. The Embedded computing platform CPU bus. Memory. I/O devices. CPU bus Connects CPU to: memory; devices. Protocol controls communication between entities. Bus protocol Determines who gets to use the bus

More information

Freescale and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are the property of their

Freescale and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are the property of their S08 Highlighted Features Why Do I Need a Slave LIN Interface Controller (SLIC)? Design Challenges Slave synchronization Slave synchronizing to LIN messaging requires a cost versus resource trade-off. Your

More information

Digital Control for Space Power Management Devices

Digital Control for Space Power Management Devices Template reference : 100182079N-EN Digital Control for Space Power Management Devices Work conducted under ESA Contract nr.21826/08/nl/lvh DIGITAL POWER CONTROL Management of power devices via digital

More information

Reading and References. Input / Output. Why Input and Output? A typical organization. CSE 410, Spring 2004 Computer Systems

Reading and References. Input / Output. Why Input and Output? A typical organization. CSE 410, Spring 2004 Computer Systems Reading and References Input / Output Reading» Section 8.1-8.5, Computer Organization and Design, Patterson and Hennessy CSE 410, Spring 2004 Computer Systems http://www.cs.washington.edu/education/courses/410/04sp/

More information

Microcontroller basics

Microcontroller basics FYS3240 PC-based instrumentation and microcontrollers Microcontroller basics Spring 2017 Lecture #4 Bekkeng, 30.01.2017 Lab: AVR Studio Microcontrollers can be programmed using Assembly or C language In

More information

8254 is a programmable interval timer. Which is widely used in clock driven digital circuits. with out timer there will not be proper synchronization

8254 is a programmable interval timer. Which is widely used in clock driven digital circuits. with out timer there will not be proper synchronization 8254 is a programmable interval timer. Which is widely used in clock driven digital circuits. with out timer there will not be proper synchronization between two devices. So it is very useful chip. The

More information

LISA Pathfinder Sheet : 1

LISA Pathfinder Sheet : 1 Pathfinder Sheet : 1 Issue : A Date : 7.3.5 Inputs to LISA Pathfinder Space-Ground Interface Document (SGICD) - Part 2, Baseband. CI CODE: 1240000 Prepared by: Date: Robin Ashencaen Checked by: Date: Kevin

More information

Views of Memory. Real machines have limited amounts of memory. Programmer doesn t want to be bothered. 640KB? A few GB? (This laptop = 2GB)

Views of Memory. Real machines have limited amounts of memory. Programmer doesn t want to be bothered. 640KB? A few GB? (This laptop = 2GB) CS6290 Memory Views of Memory Real machines have limited amounts of memory 640KB? A few GB? (This laptop = 2GB) Programmer doesn t want to be bothered Do you think, oh, this computer only has 128MB so

More information

Welcome to this Renesas Interactive course which covers migration from the the V850 Jx3 series of 32bit MCUs to the Jx4 Series.

Welcome to this Renesas Interactive course which covers migration from the the V850 Jx3 series of 32bit MCUs to the Jx4 Series. Welcome to this Renesas Interactive course which covers migration from the the V850 Jx3 series of 32bit MCUs to the Jx4 Series. The J Series provides a combination of high-performance processing power

More information

Department of Computer Science, Institute for System Architecture, Operating Systems Group. Real-Time Systems '08 / '09. Hardware.

Department of Computer Science, Institute for System Architecture, Operating Systems Group. Real-Time Systems '08 / '09. Hardware. Department of Computer Science, Institute for System Architecture, Operating Systems Group Real-Time Systems '08 / '09 Hardware Marcus Völp Outlook Hardware is Source of Unpredictability Caches Pipeline

More information

SINGLE BOARD COMPUTER FOR SPACE

SINGLE BOARD COMPUTER FOR SPACE SINGLE BOARD COMPUTER FOR SPACE Proven in Space Best Single Event Performance Seamless Error Correction Wide Range of Processing Power Highest Design Margin SCS750 FLIGHT MODULE Overview of Specifications

More information

Characterizing the Performance of SpaceWire on a LEON3FT. Ken Koontz, Andrew Harris, David Edell

Characterizing the Performance of SpaceWire on a LEON3FT. Ken Koontz, Andrew Harris, David Edell Characterizing the Performance of SpaceWire on a LEON3FT Ken Koontz, Andrew Harris, David Edell Introduction SpaceWire is emerging as standard high-performance data interface Recent NASA missions include

More information

DESIGN AND IMPLEMENTATION OF AN AVIONICS FULL DUPLEX ETHERNET (A664) DATA ACQUISITION SYSTEM

DESIGN AND IMPLEMENTATION OF AN AVIONICS FULL DUPLEX ETHERNET (A664) DATA ACQUISITION SYSTEM DESIGN AND IMPLEMENTATION OF AN AVIONICS FULL DUPLEX ETHERNET (A664) DATA ACQUISITION SYSTEM Alberto Perez, Technical Manager, Test & Integration John Hildin, Director of Network s John Roach, Vice President

More information

PRODUCT PREVIEW TNETV1050 IP PHONE PROCESSOR. description

PRODUCT PREVIEW TNETV1050 IP PHONE PROCESSOR. description C55x DSP Operating at 125 MHz, Providing up to 250 MIPS MIPS32 4KEc 32-Bit RISC Processor, Operating at 165 MHz, Providing up to 223 Dhrystone MIPS On-Chip Peripherals Include: External Memory Interface

More information

Developing deterministic networking technology for railway applications using TTEthernet software-based end systems

Developing deterministic networking technology for railway applications using TTEthernet software-based end systems Developing deterministic networking technology for railway applications using TTEthernet software-based end systems Project n 100021 Astrit Ademaj, TTTech Computertechnik AG Outline GENESYS requirements

More information

On-Board Control Procedures: Autonomous and Updateable Spacecraft Operator Onboard and Beyond

On-Board Control Procedures: Autonomous and Updateable Spacecraft Operator Onboard and Beyond On-Board Control Procedures: Autonomous and Updateable Spacecraft Operator Onboard and Beyond Marek Prochazka / Kjeld Hjortnaes European Space Agency, ESTEC, Software Systems Division. FSW-10, Pasadena

More information

Architecture of Computers and Parallel Systems Part 2: Communication with Devices

Architecture of Computers and Parallel Systems Part 2: Communication with Devices Architecture of Computers and Parallel Systems Part 2: Communication with Devices Ing. Petr Olivka petr.olivka@vsb.cz Department of Computer Science FEI VSB-TUO Architecture of Computers and Parallel Systems

More information

Serial Communication. Simplex Half-Duplex Duplex

Serial Communication. Simplex Half-Duplex Duplex 1.5. I/O 128 Serial Communication Simplex Half-Duplex Duplex 129 Serial Communication Master-Slave Master Master-Multi-Slave Master Slave Slave Slave (Multi-)Master Multi-Slave Master Slave Slave Slave

More information

20-EECE-4029 Operating Systems Fall, 2015 John Franco

20-EECE-4029 Operating Systems Fall, 2015 John Franco 20-EECE-4029 Operating Systems Fall, 2015 John Franco Final Exam name: Question 1: Processes and Threads (12.5) long count = 0, result = 0; pthread_mutex_t mutex; pthread_cond_t cond; void *P1(void *t)

More information

Arduino Uno R3 INTRODUCTION

Arduino Uno R3 INTRODUCTION Arduino Uno R3 INTRODUCTION Arduino is used for building different types of electronic circuits easily using of both a physical programmable circuit board usually microcontroller and piece of code running

More information