Programovatelné obvody a SoC. PI-PSC

Size: px
Start display at page:

Download "Programovatelné obvody a SoC. PI-PSC"

Transcription

1 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Programovatelné obvody a SoC. PI-PSC doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologií ČVUT v Praze Hana Kubátová PI-PSC

2 Testování systémů na čipu Přednáší a konzultuje: H.Kubátová Hana Kubátová PI-PSC

3 Základní terminologie wiki SoC (system-on-a-chip) testing is the testing of system-ona-chip (SoC) devices. Testing then becomes an increasing challenge as these devices become more complex. An SoC design is typically built block by block; efficient testing is also best done block by block. Today, designers can install a specialized, pre-designed, configurable embedded system to test and debug each block. Using such an embedded system, a designer can specify the test speed, fault coverage, diagnostic options, and test length for testing any random logic block. Hana Kubátová PI-PSC

4 Obsah SoC Test Problems/Requirements IEEE P1500 Standard SoC Test Methodology Testable SoC Design Flow zdroje: Y. Zorian, ITC conf., Date conf., Samiha Mourad, Digital testing course, Santa Clara University, 2001 Hana Kubátová PI-PSC

5 Problémy testování SoC Deeply embedded cores More, higher-performance core pins than SOC pins External ATE inefficiency Mixing technologies: logic, processor, memory, analog components Multiple hardware description levels for cores Different core providers and SOC test developers /test reuse Hierarchical core reuse IP protection Hana Kubátová PI-PSC

6 SOC Test Requirements Deeply embedded cores Need Test Access Mechanism More, higher-performance core pins than SOC pins Need on-chip, at-speed testing External ATE inefficiency Need on-chip ATE Mixing technologies: logic, processor, memory, analog components Need various DFT/BIST/ techniques Hana Kubátová PI-PSC

7 SOC Test Requirements ctd Multiple hardware description level for cores Need to insert DFT/BIST at various levels Different core providers and SOC test developers Need standard for test integration /test reuse Need plug-and-play test mechanism Hierarchical core reuse Need hierarchical test management IP protection Need core test standard/document Hana Kubátová PI-PSC

8 Testování jader.. techniky Single scan Multiple scan Broadcast scan Enabled ATPG Scan insertion Reusable ATPG Access & isolation Test point insertion Shadow register Enabled BIST Scan, test points Embedded BIST Serial or parallel, local controller, TPG and SA Boundary scan (BS) Hana Kubátová PI-PSC

9 Testy jedn. komponent DSP/CPU cores: BS supporting BIST, Scan, test point, shadow register. ASIC cores: BIST, Scan, shadow register, w/wo BS. Memory: Embedded BIST Analog: Test points, DSP, BIST, ad hoc Hana Kubátová PI-PSC

10 SoC RAM Interface Block (RT Level ) Controller (algorithm) Micropro. (Layout) UDL FPGA DSP (Netlist) UDL RAM Hana Kubátová PI-PSC

11 Hierarchie jader UDL SOC UDL Hana Kubátová PI-PSC

12 Poměr typů jader Flexibil lity Soft Firm Hard Predictability, Performance, and complexity Hana Kubátová PI-PSC

13 DFT - cyklus návrhu Behavioural Description Gate Behavioral DFT Synthesis Technology Mapping Libraries RTL Description Layout Logic DFT Synthesis Parameter Extraction Manufacturing Libraries Gate Description Product Test Pattern Generation Test Application low Fault Coverage? high Good Product Hana Kubátová PI-PSC

14 Test access mechanismus, wrapery System IC System IC Isolation embedded core Embedded functional input functional output Ring (a) (b) scan chain scan chain scan chain core A l Test Rail Test Shell scan chain scan chain core B l Test Rail scan chain scan chain core C bypass Test Rail bypass (c) Test Rail Hana Kubátová PI-PSC bypass

15 Schema pro přímý přístup testu (direct access test scheme - DATS) TMODE TSEL TMODE TSEL cnt3 Test Control Logic Test Control Logic UI1 cnt1 cnt2 Input cnt3 User Output TI1 cnt3 = TMODE + TSEL cnt1 = TMODE cnt2 = TMODE + TSEL Block Output Hana Kubátová PI-PSC

16 Přímý přístup testu TSEL EMBEDDED OUPUT TMODE USER IN TEST IN PRIMARY INPUT 10 S0 MUX O1 I1 INPUT USER LOGIC BLOCK BIDIRECTIONAL TEST PARTITION BOUNDARY BUFTE BUFTD EMBEDDED BIDIRECTIONAL EMBEDDED BIDIRECTIONAL CONTROL PRIMARY OUTPUT PRIMARY BIDIRECTIONAL Hana Kubátová PI-PSC

17 BLOCK 1 SP8237 UIN1 TIN1 OUT1 DBUS TSEL DBUS0 OUTPUT PAD I/O PAD PIN 4 PIN 5 TMODE CNTL1 PIN 1 INPUT PAD BLOCK 2 USER PARTITION UIN2 TIN2 TMODE BLOCK OUT2 TSEL USER SIGNAL 12 MUX O1 L1 SEL OUTPUT PAD PIN 6 PIN 2 BLOCK 3 SP8259 UIN3 DBUS CNTL2 Příklad implementace INPUT PAD TIN3 TMODE OUT3 TSEL T S E L 3 T S E L 1 T S E L 2 PIN 3 TMODE INPUT PAD TEST CONTROL LOGIC 17

18 IC se 4 Test Access Ports C B S R NTC NTC NTC TAP 1 CBSR CBSR CBSR TAP'd X TAP 2 TAP'd Y TAP 3 TAP'd Z TAP 4 C B S R TDI TCK TMS TRST* TDO Hana Kubátová PI-PSC

19 C B S R NTC NTC NTC CBSR TAP'd X CBSR CBSR TAP'd Y TAP'd Z C B S R TAP 1 TAP 2 TAP 3 SEL ENA SEL ENA SEL ENA TAP Linking Module TAP 4 SEL ENA TDI TCK TMS TRST* TDO 10/16/2011 Copyright(c)2001Samiha Mourad

20 Reusable TLM architecture C B S R NTC NTC NTC CBSR CBSR CBSR Multi TAP'd Multi TAP' d Multi TAP' d TAP 1 TLM TLM TLM SEL ENA SEL ENA SEL ENA SEL ENA C B S R TAP Linking Module TDI TCK TMS TRST* TDO 10/16/2011 Copyright(c)2001Samiha Mourad

21 Oddělení izolace jader Isolation SOC Scan Chain Embedded Isolation Ring UDL IP Ring (a) 10/16/2011 Copyright(c)2001Samiha Mourad Internal Scan (b)

22 Transparent Model A Tansparent A d e b c d e Scan-in b c Scan-out 10/16/2011 Copyright(c)2001Samiha Mourad

23 Scanovací řetězec jako TAP B A C Direct Access B bp A bp C bp Daisychain 10/16/2011 Copyright(c)2001Samiha Mourad

24 Space Compaction U Compact Sel B C U (a) Space Compaction B Sel Reducing the external bandwidth Weighted Decoder (b) Weighted Decoder C Mode U MISR B C (c) BIST Application 10/16/2011 Copyright(c)2001Samiha Mourad

25 Reducing the external bandwidth U Compact Sel B c U Sel B c Mode U MISR B 10/16/2011 Copyright(c)2001Samiha Mourad c

26 IEEE std Standard for Embedded Test serial and parallel test access mechanisms (TAMs) rich set of instructions suitable for testing cores, SoC interconnect, and circuitry defines features that enable core isolation and protection reduces test cost through improved automation, promote good (DFT) technique, and improve test quality through improved 10/16/2011 Copyright(c)2001Samiha Mourad access

27 IEEE std 1500 test language (CTL) is the official mechanism for describing IEEE 1500 wrappers and test data associated with cores. CTL is defined in IEEE P and was originally begun as part of the development of IEEE Std Hana Kubátová PI-PSC

28 IEEE std 1500 Vychází z IEEE Std wrapper architektura a access mechanismus navržený pro účely testování komponent na desce (IEEE Std 1500 podobná struktura pro testování jader SoC) Hana Kubátová PI-PSC

29 IEEE std 1500 Approved 30 June 2005 American National Standards Institute Approved 20 March 2005 IEEE-SA Standards Board Sponsor Test Technology Technical Council of the IEEE Computer Society IEEE std 1500 Chair Yervant Zorian Hana Kubátová PI-PSC

30 IEEE std 1500 cíle Standardize a Test Architecture which: Defines a core test interface between an embedded core and the system chip. Facilitate test reuse for embedded cores through core access and isolation mechanisms Provide testability for system chip interconnect and logic. Facilitates core test interoperability, with plug-andplay protocols, to improve the efficiency of test. Hana Kubátová PI-PSC

31 IEEE std 1500 základní principy Embedded core test requires the following hardware components: A Wrapper (around the core) A Source/Sink for test patterns (on or off-chip) An on-chip Test Access Mechanism (TAM) to connect the Wrapper to the Source/Sink. Faciliate test reuse for "non-merged cores. Define the behavior of a standard Wrapper per core and its interface with a Test Access Mechanism (TAM). Hana Kubátová PI-PSC

32 Závěry. SOC testing is a must Standard not defined yet Even standard is defined, many details need to be implemented Component testing needs to consider test reuse Automation of wrapper generation & system chip interface must be done Tools for linking design flow Test access mechanism is to be user-defined, hence test engineer will not lost job Mixed-mode testing in SOC is urgent Hana Kubátová PI-PSC

Testable SOC Design. Sungho Kang

Testable SOC Design. Sungho Kang Testable SOC Design Sungho Kang 2001.10.5 Outline Introduction SOC Test Challenges IEEE P1500 SOC Test Strategies Conclusion 2 SOC Design Evolution Emergence of very large transistor counts on a single

More information

Jin-Fu Li. Department of Electrical Engineering. Jhongli, Taiwan

Jin-Fu Li. Department of Electrical Engineering. Jhongli, Taiwan Chapter 9 Basics of SOC Testing Jin-Fu Li Advanced Reliable Systems (ARES) Lab Department of Electrical Engineering National Central University Jhongli, Taiwan Outline Introduction SOC Test Challenge SOC

More information

SoC Design Flow & Tools: SoC Testing

SoC Design Flow & Tools: SoC Testing SoC Design Flow & Tools: SoC Testing Jiun-Lang Huang Graduate Institute of Electronics Engineering Department of Electrical Engineering National Taiwan University Outline l SoC Test Challenges l Test Access

More information

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. Embedded Core Testing (ΙΕΕΕ SECT std) 2

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. Embedded Core Testing (ΙΕΕΕ SECT std) 2 CMOS INTEGRATED CIRCUIT DESIGN TECHNIQUES University of Ioannina Embedded Testing (ΙΕΕΕ 1500 Std. SECT) Dept. of Computer Science and Engineering Y. Tsiatouhas CMOS Integrated Circuit Design Techniques

More information

Contents 1 Basic of Test and Role of HDLs 2 Verilog HDL for Design and Test

Contents 1 Basic of Test and Role of HDLs 2 Verilog HDL for Design and Test 1 Basic of Test and Role of HDLs... 1.1 Design and Test... 1.1.1 RTL Design Process... 1.1.2 Postmanufacturing Test... 1.2 Test Concerns... 1.2.1 Test Methods... 1.2.2 Testability Methods... 1.2.3 Testing

More information

Embedded Quality for Test. Yervant Zorian LogicVision, Inc.

Embedded Quality for Test. Yervant Zorian LogicVision, Inc. Embedded Quality for Test Yervant Zorian LogicVision, Inc. Electronics Industry Achieved Successful Penetration in Diverse Domains Electronics Industry (cont( cont) Met User Quality Requirements satisfying

More information

Digital Integrated Circuits

Digital Integrated Circuits Digital Integrated Circuits Lecture Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University Design/manufacture Process Chung EPC655 2 Design/manufacture Process Chung EPC655 3 Layout

More information

IEEE P1500 Core Test Standardization

IEEE P1500 Core Test Standardization Technical Proposals for IEEE P1500 Core Test Standardization Erik Jan Marinissen Research Laboratories Eindhoven, The Netherlands P1500 Meeting ITC Test Week, Washington D.C., November, 1997 Technical

More information

JTAG TAP CONTROLLER PROGRAMMING USING FPGA BOARD

JTAG TAP CONTROLLER PROGRAMMING USING FPGA BOARD JTAG TAP CONTROLLER PROGRAMMING USING FPGA BOARD 1 MOHAMED JEBRAN.P, 2 SHIREEN FATHIMA, 3 JYOTHI M 1,2 Assistant Professor, Department of ECE, HKBKCE, Bangalore-45. 3 Software Engineer, Imspired solutions,

More information

Early Design Review of Boundary Scan in Enhancing Testability and Optimization of Test Strategy

Early Design Review of Boundary Scan in Enhancing Testability and Optimization of Test Strategy Early Design Review of Boundary Scan in Enhancing Testability and Optimization of Test Strategy Sivakumar Vijayakumar Keysight Technologies Singapore Abstract With complexities of PCB design scaling and

More information

IEEE P1500, a Standard for System on Chip DFT

IEEE P1500, a Standard for System on Chip DFT page 1(6) IEEE P1500, a Standard for System on Chip DFT Kim Petersén HDC, Hardware Design Center 723 50 Västerås Sweden Email: kim.petersen@hdc.se key words: IP, DFT, SoC, BIST, BISR ABSTRACT This document

More information

Chapter 8 Test Standards. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan

Chapter 8 Test Standards. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Chapter 8 Test Standards Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline 1149.1 standard for system-on-board 1500 standard for system-on-chip Advanced

More information

Testing TAPed Cores and Wrapped Cores With The Same Test Access Mechanism Λ

Testing TAPed Cores and Wrapped Cores With The Same Test Access Mechanism Λ Testing TAPed Cores and Wrapped Cores With The Same Test Access Mechanism Λ Mounir Benabdenbi y Walid Maroufi z Meryem Marzouki LIP6 Laboratory Couloir 55-65, 4 Place Jussieu, 75252 Paris Cedex 5, France

More information

Preliminary Outline of the IEEE P1500 Scaleable Architecture for Testing Embedded Cores

Preliminary Outline of the IEEE P1500 Scaleable Architecture for Testing Embedded Cores Preliminary Outline of the Scaleable Architecture for Testing Embedded Cores Mike Ricchetti and Fidel Muradali VTS99 Dana Point, CA April 28, 1999 Architecture Task Force, 1999 Presentation Outline Introduction

More information

Boundary Scan Implementation

Boundary Scan Implementation OpenCORES s Boundary Scan Implementation Abstract This document describes Boundary Scan Implementation (software and hardware solution. It is fully IEEE 1149.1 compliant. Date : August 6, 2000 Version:

More information

11. Security Techniques on Smart Cards

11. Security Techniques on Smart Cards 11. Security Techniques on Smart Cards Dr.-Ing. Martin Novotný Katedra číslicového návrhu Fakulta informačních technologií České vysoké učení technické v Praze c Martin Novotný, 2011 MI-BHW Bezpečnost

More information

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors)

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors) 1 COEN-4730 Computer Architecture Lecture 12 Testing and Design for Testability (focus: processors) Cristinel Ababei Dept. of Electrical and Computer Engineering Marquette University 1 Outline Testing

More information

SoC Design Lecture 14: SoC Testing. Shaahin Hessabi Department of Computer Engineering Sharif University of Technology

SoC Design Lecture 14: SoC Testing. Shaahin Hessabi Department of Computer Engineering Sharif University of Technology SoC Design Lecture 14: SoC Testing Shaahin Hessabi Department of Computer Engineering Sharif University of Technology Outline Introduction to Testing Importance of SoC Testing Challenges of SoC Testing

More information

Boundary-Scan, Silicon and Software Enable System Level Embedded Test

Boundary-Scan, Silicon and Software Enable System Level Embedded Test Boundary-Scan, Silicon and Software Enable System Level Embedded Test ABSTRACT Designing IC s, boards, and systems with a DFT strategy that utilizes boundary-scan, will make a quantum improvement in test

More information

Keysight Technologies Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of PCBA

Keysight Technologies Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of PCBA Keysight Technologies Expanding IEEE Std 1149.1 Boundary-Scan Architecture Beyond Manufacturing Test of PCBA Article Reprint This paper was first published in the 2017 IPC APEX Technical Conference, CA,

More information

A Built-in Self-Test for System-on-Chip

A Built-in Self-Test for System-on-Chip A Built-in Self-Test for System-on-Chip Rashid Rashidzadeh University of Windsor Digital 1 Objective : Design an intellectual property (IP) core which enables low speed Automatic Test Equipment (ATE) to

More information

Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of Printed Circuit Board Assembly

Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of Printed Circuit Board Assembly Expanding IEEE Std 1149.1 Boundary-Scan Architecture Beyond Manufacturing Test of Printed Circuit Board Assembly Jun Balangue Keysight Technologies Singapore Jun_balangue@keysight.com Abstract This paper

More information

High Quality, Low Cost Test

High Quality, Low Cost Test Datasheet High Quality, Low Cost Test Overview is a comprehensive synthesis-based test solution for compression and advanced design-for-test that addresses the cost challenges of testing complex designs.

More information

Overview the Proposed IEEE P1500 Scaleable Architecture for Testing Embedded Cores

Overview the Proposed IEEE P1500 Scaleable Architecture for Testing Embedded Cores Unapproved Working Document Overview the Proposed Scaleable Architecture for Testing Embedded Cores Presented on behalf of the CTAG Team by Mike Ricchetti June 7th, at DAC 2000 Architecture Task Force,

More information

POLITECNICO DI TORINO Repository ISTITUZIONALE

POLITECNICO DI TORINO Repository ISTITUZIONALE POLITECNICO DI TORINO Repository ISTITUZIONALE A Hierachical Infrastrucutre for SOC Test Management Original A Hierachical Infrastrucutre for SOC Test Management / Benso A.; Di Carlo S.; Prinetto P.; Zorian

More information

Boundary Scan. Sungho Kang. Yonsei University

Boundary Scan. Sungho Kang. Yonsei University Boundary Scan Sungho Kang Yonsei University Outiline Introduction TAP Controller Instruction Register Test Data Registers Instructions Hardware Test Innovations PCB Test Conclusion 2 Boundary Scan Improve

More information

Boundary-scan test for structural fault detection

Boundary-scan test for structural fault detection Boundary-scan test for structural fault detection J. M. Martins Ferreira FEUP / DEEC - Rua Dr. Roberto Frias 42-537 Porto - PORTUGAL Tel. 351 225 81 889 / Fax: 351 225 81 443 [ jmf@fe.up.pt ] Tallinn Technical

More information

SSoCC'01 4/3/01. Specific BIST Architectures. Gert Jervan Embedded Systems Laboratory (ESLAB) Linköping University

SSoCC'01 4/3/01. Specific BIST Architectures. Gert Jervan Embedded Systems Laboratory (ESLAB) Linköping University Specific BIST Architectures Gert Jervan Embedded Systems Laboratory (ESLAB) Linköping University General Concepts Test-per-scan architectures Multiple scan chains Test-per-clock architectures BIST conclusions

More information

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group I N V E N T I V E DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group Moore s Law & More : Tall And Thin More than Moore: Diversification Moore s

More information

Lecture 28 IEEE JTAG Boundary Scan Standard

Lecture 28 IEEE JTAG Boundary Scan Standard Lecture 28 IEEE 49. JTAG Boundary Scan Standard Motivation Bed-of-nails tester System view of boundary scan hardware Elementary scan cell Test Access Port (TAP) controller Boundary scan instructions Summary

More information

Design and Synthesis for Test

Design and Synthesis for Test TDTS 80 Lecture 6 Design and Synthesis for Test Zebo Peng Embedded Systems Laboratory IDA, Linköping University Testing and its Current Practice To meet user s quality requirements. Testing aims at the

More information

Chapter 9. Design for Testability

Chapter 9. Design for Testability Chapter 9 Design for Testability Testability CUT = Circuit Under Test A design property that allows: cost-effective development of tests to be applied to the CUT determining the status of the CUT (normal

More information

Parallel Connected Components

Parallel Connected Components Parallel Connected Components prof. Ing. Pavel Tvrdík CSc. Katedra počítačových systémů Fakulta informačních technologií České vysoké učení technické v Praze c Pavel Tvrdík, 00 Pokročilé paralelní algoritmy

More information

Bibliography. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, Practical Software Reuse, Donald J. Reifer, Wiley, 1997.

Bibliography. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, Practical Software Reuse, Donald J. Reifer, Wiley, 1997. Bibliography Books on software reuse: 1. 2. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, 1997. Practical Software Reuse, Donald J. Reifer, Wiley, 1997. Formal specification and verification:

More information

Mixed Signal IC Testing. Mixed Signal DFT. IEEE Std 蘇朝琴國立交通大學電機工程學系. Mixed Signal IC Testing. IEEE Std. 1149

Mixed Signal IC Testing. Mixed Signal DFT. IEEE Std 蘇朝琴國立交通大學電機工程學系. Mixed Signal IC Testing. IEEE Std. 1149 ixed Signal DFT IEEE Std. 49 蘇朝琴國立交通大學電機工程學系 ST IEEE std 49 P. IEEE Std. 49 IEEE Std. 49. IEEE Std. 49.5 IEEE Std. 49.4 ST IEEE std 49 P.2 IEEE Std. 49. Test ccess Port and Boundary Scan rchitecture The

More information

BOUNDARY-SCAN: AN INTRODUCTION. by James Stanbridge, Sales Manager of JTAG Technologies

BOUNDARY-SCAN: AN INTRODUCTION. by James Stanbridge, Sales Manager of JTAG Technologies BOUNDARY-SCAN: AN INTRODUCTION by James Stanbridge, Sales Manager of JTAG Technologies Once considered to be something of a black art, and solely an aid to manufacturing, boundary-scan is coming of age

More information

Digital System Test and Testable Design

Digital System Test and Testable Design Digital System Test and Testable Design wwwwwwwwwwww Zainalabedin Navabi Digital System Test and Testable Design Using HDL Models and Architectures Zainalabedin Navabi Worcester Polytechnic Institute Department

More information

IEEE JTAG Boundary Scan Standard

IEEE JTAG Boundary Scan Standard IEEE 1149.1 JTAG Boundary Scan Standard Bed-of-nails tester Motivation System view of boundary scan hardware Elementary scan cell Test Access Port (TAP) controller Boundary scan instructions Example *Joint

More information

VLSI Testing. Introduction. Virendra Singh Indian Institute of Science Bangalore

VLSI Testing. Introduction. Virendra Singh Indian Institute of Science Bangalore VLSI Testing Introduction Virendra Singh Indian Institute of Science Bangalore virendra@computer.org E0 286: Test & Verification of SoC Design Lecture - 1 Reading Material Text Book: M.L. Bushnell and

More information

Testing Embedded-Core Based System Chips

Testing Embedded-Core Based System Chips Testing Embedded-Core Based System Chips Yervant Zorian Erik Jan Marinissen Sujit Dey LogicVision 101 Metro Drive Third floor San Jose, CA 95110 U.S.A. zorian@lvision.com Philips Research Dept. VLSI Design

More information

AN IMPLEMENTATION THAT FACILITATE ANTICIPATORY TEST FORECAST FOR IM-CHIPS

AN IMPLEMENTATION THAT FACILITATE ANTICIPATORY TEST FORECAST FOR IM-CHIPS AN IMPLEMENTATION THAT FACILITATE ANTICIPATORY TEST FORECAST FOR IM-CHIPS E.S.D Gireesh Goud 1, Mrs.T.Swetha 2 PG Scholor, DIET, HYD 1, Assistant Professor, DIET, HYD 2 ABSTRACT These designs pose significant

More information

Parallel scan on linked lists

Parallel scan on linked lists Parallel scan on linked lists prof. Ing. Pavel Tvrdík CSc. Katedra počítačových systémů Fakulta informačních technologií České vysoké učení technické v Praze c Pavel Tvrdík, 00 Pokročilé paralelní algoritmy

More information

An Industrial Approach to Core-Based System Chip Testing

An Industrial Approach to Core-Based System Chip Testing An Industrial Approach to Core-Based System Chip Testing Erik Jan Marinissen Philips Research Abstract: Key words: System chips are increasingly being designed by embedding reusable predesigned and pre-verified

More information

Digital VLSI Testing. Week 1 Assignment Solution

Digital VLSI Testing. Week 1 Assignment Solution Digital VLSI Testing Week 1 Assignment Solution Q1. Primary objective of testing is to guarantee (A) Fault-free products (B) Detection of design error (C) Reduction of product cost (D) All of these Ans:

More information

Al Crouch ASSET InterTech InterTech.com

Al Crouch ASSET InterTech InterTech.com IJTAG Test Strategy for 3D IC Integration Al Crouch ASSET InterTech acrouch@asset InterTech.com Silicon Valley Test Conference 2011 1 Why 3D? So, who suffers? Fab Tool Providers they only have 5 customers

More information

The Boundary - Scan Handbook

The Boundary - Scan Handbook The Boundary - Scan Handbook By Kenneth P. Parker Agilent Technologies * KLUWER ACADEMIC PUBLISHERS Boston / Dordrecht / London TABLE OF CONTENTS List of Figures xiii List of Tables xvi List of Design-for-Test

More information

SECTION 11 JTAG PORT

SECTION 11 JTAG PORT nc. SECTION JTAG PORT MOTOROLA DSP5662 User s Manual - nc.. INTRODUCTION....................................-3.2 JTAG PINS........................................-5.3 TAP CONTROLLER.................................-6.4

More information

l Some materials from various sources! Soma 1! l Apply a signal, measure output, compare l 32-bit adder test example:!

l Some materials from various sources! Soma 1! l Apply a signal, measure output, compare l 32-bit adder test example:! Acknowledgements! Introduction and Overview! Mani Soma! l Some materials from various sources! n Dr. Phil Nigh, IBM! n Principles of Testing Electronic Systems by S. Mourad and Y. Zorian! n Essentials

More information

myproject - P PAR Detail

myproject - P PAR Detail myproject - P1149.1 PAR Detail Submitter Email: cjclark@intellitech.com Type of Project: Revision to IEEE Standard PAR Request Date: 24-May-2008 PAR Approval Date: 26-Sep-2008 PAR Expiration Date: 31-Dec-2012

More information

FUNKCIONÁLNÍ A LOGICKÉ PROGRAMOVÁNÍ 1. ÚVOD DO PŘEDMĚTU, LAMBDA CALCULUS

FUNKCIONÁLNÍ A LOGICKÉ PROGRAMOVÁNÍ 1. ÚVOD DO PŘEDMĚTU, LAMBDA CALCULUS FUNKCIONÁLNÍ A LOGICKÉ PROGRAMOVÁNÍ 1. ÚVOD DO PŘEDMĚTU, LAMBDA CALCULUS 2011 Jan Janoušek MI-FLP Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Funkcionální a logické programování

More information

DESIGN OF IEEE TAP CONTROLLER IP CORE

DESIGN OF IEEE TAP CONTROLLER IP CORE DESIGN OF IEEE 1149.1 TAP CONTROLLER IP CORE Shelja A S 1, Nandakumar R 2 and Muruganantham C 3 1 Department of Electronics and Communication Engineering, NCERC. sheljaas@gmail.com 2 Assistant scientist/engineer,

More information

SCANSTA111. SCANSTA111 Enhanced SCAN Bridge Multidrop Addressable IEEE (JTAG) Port. Literature Number: SNLS060J

SCANSTA111. SCANSTA111 Enhanced SCAN Bridge Multidrop Addressable IEEE (JTAG) Port. Literature Number: SNLS060J SCANSTA111 Enhanced SCAN Bridge Multidrop Addressable IEEE 1149.1 (JTAG) Port Literature Number: SNLS060J Enhanced SCAN Bridge Multidrop Addressable IEEE 1149.1 (JTAG) Port General Description The SCANSTA111

More information

Board-level testing and IEEE1149.x Boundary Scan standard. Artur Jutman

Board-level testing and IEEE1149.x Boundary Scan standard. Artur Jutman Board-level testing and IEEE1149.x Boundary Scan standard Artur Jutman artur@ati.ttu.ee February 2011 Outline Board level testing challenges Fault modeling at board level (digital) Test generation for

More information

A Research Paper on Designing a TAP(Test Access Port)

A Research Paper on Designing a TAP(Test Access Port) A Research Paper on Designing a TAP(Test Access Port) 1 Mr. VISHWAS K. CHAUDHARY, 2 Mr. MANISH J. PATEL 1, 2 P. G. Students in M.E.(VLSI & ESD) Gujarat Technological University & Seer-Akademi Ahmedabad,

More information

ASIC world. Start Specification Design Verification Layout Validation Finish

ASIC world. Start Specification Design Verification Layout Validation Finish AMS Verification Agenda ASIC world ASIC Industrial Facts Why Verification? Verification Overview Functional Verification Formal Verification Analog Verification Mixed-Signal Verification DFT Verification

More information

Fault management in an IEEE P1687 (IJTAG) environment. Erik Larsson and Konstantin Shibin Lund University Testonica Lab

Fault management in an IEEE P1687 (IJTAG) environment. Erik Larsson and Konstantin Shibin Lund University Testonica Lab Fault management in an IEEE P1687 (IJTAG) environment Erik Larsson and Konstantin Shibin Lund University Testonica Lab otivation Semiconductor technology development enables design and manufacturing of

More information

Chip & Board Testability Assessment Checklist

Chip & Board Testability Assessment Checklist Chip & Board Testability Assessment Checklist Prepared by Ben Bennetts, DFT Consultant for ASSET InterTech, Inc. 1 July 2005 Abstract: BA Board Testability Assessment 2002, Bennetts Associates checklist

More information

Boundary Scan. Sungho Kang. Yonsei University

Boundary Scan. Sungho Kang. Yonsei University Boundary Scan Sungho Kang Yonsei University Outiline Introduction TAP Controller Instruction Register Test Data Registers Instructions Hardware Test Innovations PCB Test Conclusion 2 Boundary Scan Improve

More information

P1149.1A Extensions to IEEE-STD

P1149.1A Extensions to IEEE-STD AN-890 Fairchild Semiconductor Application Note February 1994 Revised May 2001 P1149.1A Extensions to IEEE-STD-1149.1-1990 Abstract Since publication of IEEE-1149.1-1990/ANSI 1, 2, 3, extensions and requests

More information

Chapter 2 Why use the IEEE 1500 Standard?

Chapter 2 Why use the IEEE 1500 Standard? Chapter 2 Why use the IEEE 1500 Standard? 2.1 Introduction Before the question of Why use the IEEE 1500 Standard? is asked, another question should be addressed. Why do we need a wrapper at all? A wrapper

More information

SCANSTA111 Enhanced SCAN bridge Multidrop Addressable IEEE (JTAG) Port

SCANSTA111 Enhanced SCAN bridge Multidrop Addressable IEEE (JTAG) Port Enhanced SCAN bridge Multidrop Addressable IEEE 1149.1 (JTAG) Port General Description The SCANSTA111 extends the IEEE Std. 1149.1 test bus into a multidrop test bus environment. The advantage of a multidrop

More information

FPGA Based Digital Design Using Verilog HDL

FPGA Based Digital Design Using Verilog HDL FPGA Based Digital Design Using Course Designed by: IRFAN FAISAL MIR ( Verilog / FPGA Designer ) irfanfaisalmir@yahoo.com * Organized by Electronics Division Integrated Circuits Uses for digital IC technology

More information

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics DFT Trends in the More than Moore Era Stephen Pateras Mentor Graphics steve_pateras@mentor.com Silicon Valley Test Conference 2011 1 Outline Semiconductor Technology Trends DFT in relation to: Increasing

More information

Testing And Testable Design of Digital Systems

Testing And Testable Design of Digital Systems بسم الله الرحمان الرحیم Testing And Testable Design of Digital Systems College of Electrical Engineering Iran University of Science and Technology Karim Mohammadi Faut-Tolerant Digital System Design week-1

More information

Servers II. Ing. Jiří Kašpar prof. Ing. Pavel Tvrdík CSc.

Servers II. Ing. Jiří Kašpar prof. Ing. Pavel Tvrdík CSc. Jiří Kašpar, Pavel Tvrdík (ČVUT FIT) Servers II. MI-POA, 2011, Lecture 6 1/20 Servers II. Ing. Jiří Kašpar prof. Ing. Pavel Tvrdík CSc. Department of Computer Systems Faculty of Information Technology

More information

SCANSTA112 7-Port Multidrop IEEE (JTAG) Multiplexer

SCANSTA112 7-Port Multidrop IEEE (JTAG) Multiplexer 7-Port Multidrop IEEE 1149.1 (JTAG) Multiplexer General Description The SCANSTA112 extends the IEEE Std. 1149.1 test bus into a multidrop test bus environment. The advantage of a multidrop approach over

More information

Impact of DFT Techniques on Wafer Probe

Impact of DFT Techniques on Wafer Probe Impact of DFT Techniques on Wafer Probe Ron Leckie, CEO, INFRASTRUCTURE ron@infras.com Co-author: Charlie McDonald, LogicVision charlie@lvision.com The Embedded Test Company TM Agenda INFRASTRUCTURE Introduction

More information

Characteristics of the ITC 99 Benchmark Circuits

Characteristics of the ITC 99 Benchmark Circuits Characteristics of the ITC 99 Benchmark Circuits Scott Davidson Sun Microsystems, Inc. ITC 99 Benchmarks - Scott Davidson Page 1 Outline Why Benchmark? Some History. Soliciting Benchmarks Benchmark Characteristics

More information

SCANSTA112 Designers Reference

SCANSTA112 Designers Reference SCANSTA112 Designers Reference Introduction The SCANSTA112 is the third device in a series that enable multi-drop address and multiplexing of IEEE-1149.1 scan chains. The 'STA112 is a superset of its predecessors

More information

IEEE Std : What? Why? Where?

IEEE Std : What? Why? Where? Proceedings of DCIS 2012: xxvii th conference on design of circuits and integrated systems IEEE Std 1149.7: What? Why? Where? Francisco R. Fernandes 1, Ricardo J. S. Machado 1, José M. M. Ferreira 1,2,

More information

Boundary-Scan Integration to In-Circuit Test

Boundary-Scan Integration to In-Circuit Test Boundary-Scan Integration to In-Circuit Test John Carlos O Farrill, Test Engineer, Jabil Circuit, Inc., Advanced Test Technology E-mail: Carlos_O Farrill@Jabil.com TOPICS Scope of the Paper The Distinct

More information

Development of a Boundary Scan Test controller creation tool

Development of a Boundary Scan Test controller creation tool Eindhoven University of Technology MASTER'S THESIS Development of a Boundary Scan Test controller creation tool by J.H. Coenen Supervisors: Prof. Ir. M.T.M. Segers Ir. M.N.M. Muris The faculty of Electronical

More information

EMERGING STRATEGIES FOR RESOURCE-CONSTRAINED TESTING OF SYSTEM CHIPS Resource-constrained system-on-a-chip test: a survey

EMERGING STRATEGIES FOR RESOURCE-CONSTRAINED TESTING OF SYSTEM CHIPS Resource-constrained system-on-a-chip test: a survey EMERGING STRATEGIES FOR RESOURCE-CONSTRAINED TESTING OF SYSTEM CHIPS Resource-constrained system-on-a-chip test: a survey Q. Xu and N. Nicolici Abstract: Manufacturing test is a key step in the implementation

More information

A novel test access mechanism for parallel testing of multi-core system

A novel test access mechanism for parallel testing of multi-core system LETTER IEICE Electronics Express, Vol.11, No.6, 1 6 A novel test access mechanism for parallel testing of multi-core system Taewoo Han, Inhyuk Choi, and Sungho Kang a) Dept of Electrical and Electronic

More information

DFT for Regular Structures

DFT for Regular Structures DFT for Regular Structures Regular Structure Fault Models RAM BIST Architectures ROM & PLA BIST Architectures Bypassing During BIST Benefits & Limitations C. Stroud 11/06 BIST for Regular Structures 1

More information

Accessing On-chip Instruments Through the Life-time of Systems ERIK LARSSON

Accessing On-chip Instruments Through the Life-time of Systems ERIK LARSSON Accessing On-chip Instruments Through the Life-time of Systems ERIK LARSSON Motivation We know: Electronics is used everywhere Transistors increase in number and decrease in size It leads to: Many possible

More information

Nexus Instrumentation architectures and the new Debug Specification

Nexus Instrumentation architectures and the new Debug Specification Nexus 5001 - Instrumentation architectures and the new Debug Specification Neal Stollon, HDL Dynamics Chairman, Nexus 5001 Forum neals@hdldynamics.com nstollon@nexus5001.org HDL Dynamics SoC Solutions

More information

A PRACTICAL GUIDE TO COMBINING ICT & BOUNDARY SCAN TESTING

A PRACTICAL GUIDE TO COMBINING ICT & BOUNDARY SCAN TESTING A PRACTICAL GUIDE TO COMBINING ICT & BOUNDARY SCAN TESTING Alan Albee GenRad, Inc. Abstract This paper focuses on the practical aspects of combining boundary scan testing with traditional In-Circuit Test.

More information

Using Boundary Scan on the TMS320VC5420

Using Boundary Scan on the TMS320VC5420 Application Report SPRA597 - November 1999 Using Boundary Scan on the TMS320VC5420 Clay Turner C5000 Applications Team ABSTRACT The Texas Instruments (TI ) TMS320VC5420 DSP implements limited boundary

More information

Using Mentor Questa for Pre-silicon Validation of IEEE based Silicon Instruments by CJ Clark & Craig Stephan, Intellitech Corporation

Using Mentor Questa for Pre-silicon Validation of IEEE based Silicon Instruments by CJ Clark & Craig Stephan, Intellitech Corporation Using Mentor Questa for Pre-silicon Validation of IEEE 1149.1-2013 based Silicon Instruments by CJ Clark & Craig Stephan, Intellitech Corporation INTRODUCTION IEEE 1149.1-2013 is not your father s JTAG.

More information

EE595. Part VIII Overall Concept on VHDL. EE 595 EDA / ASIC Design Lab

EE595. Part VIII Overall Concept on VHDL. EE 595 EDA / ASIC Design Lab EE595 Part VIII Overall Concept on VHDL VHDL is a Standard Language Standard in the electronic design community. VHDL will virtually guarantee that you will not have to throw away and re-capture design

More information

Elektroonikaproduktide Testimine (P6)

Elektroonikaproduktide Testimine (P6) CEBE seminar Jäneda, June 17, 2013 Elektroonikaproduktide Testimine (P6) Tallinn University of Technology Dept. of Computer Engineering Estonia Artur Jutman Presentation Outline No Trouble Found & Embedded

More information

THE TESTABILITY FEATURES OF THE ARM1026EJ MICROPROCESSOR CORE

THE TESTABILITY FEATURES OF THE ARM1026EJ MICROPROCESSOR CORE THE TESTABILITY FEATURES OF THE ARM1026EJ MICROPROCESSOR CORE Teresa L. McLaurin, Frank Frederick, Rich Slobodnik ARM Inc. 1250 S. Capital of TX Hwy, Bldg 3, Ste 560 Austin, TX 78746 Abstract The DFT and

More information

microsparc-iiep TM Introduction to JTAG Boundary Scan

microsparc-iiep TM Introduction to JTAG Boundary Scan microsparc-iiep TM Introduction to JTAG Boundary Scan White Paper Introduction Historically, most Print Circuit Board (PCB) testing was done using bed-of-nail in-circuit test equipment. Recent advances

More information

BA-BIST: Board Test from Inside the IC Out

BA-BIST: Board Test from Inside the IC Out BA-BIST: Board Test from Inside the IC Out Zoë Conroy, Cisco Al Crouch, Asset InterTech inemi BIST Project 1 05/18/2013 About this Presentation Board-Assist (BA-BIST) is enhanced IC BIST functionality

More information

By choosing to view this document, you agree to all provisions of the copyright laws protecting it. (Go to next page to view the paper.

By choosing to view this document, you agree to all provisions of the copyright laws protecting it. (Go to next page to view the paper. Copyright 2004 Institute of Electrical and Electronics Engineers, Inc. Reprinted, with permission, from Semicon Europa EMTC in Munich on April 20, 2004, "Practical Design Methodologies that Enable Concurrent

More information

SmartScan - Hierarchical Test Compression for Pin-limited Low Power Designs

SmartScan - Hierarchical Test Compression for Pin-limited Low Power Designs - Hierarchical Test Compression for Pin-limited Low Power Designs K. Chakravadhanula *, V. Chickermane *, D. Pearl *, A. Garg #, R. Khurana #, S. Mukherjee #, P. Nagaraj + Encounter Test R&D, Front End

More information

A Non-Intrusive Isolation Approach for Soft Cores

A Non-Intrusive Isolation Approach for Soft Cores A Non-Intrusive Isolation Approach for Soft Cores Ozgur Sinanoglu Math & Computer Science Department Kuwait University Safat, Kuwait 13060 ozgur@sci.kuniv.edu.kw Tsvetomir Petrov Qualcomm CDMA Technologies

More information

Test/Repair Area Overhead Reduction for Small Embedded SRAMs

Test/Repair Area Overhead Reduction for Small Embedded SRAMs Test/Repair Area Overhead Reduction for Small Embedded SRAMs Baosheng Wang and Qiang Xu ATI Technologies Inc., 1 Commerce Valley Drive East, Markham, ON, Canada L3T 7X6, bawang@ati.com Dept. of Computer

More information

Full-Chip Pattern Integration

Full-Chip Pattern Integration Introduction Full-Chip Pattern Integration Failing tests; schedule slips; silicon re-spins; development tools that break with each new design. A growing number of test engineers are faced with these critical

More information

Design and Test Solutions for Networks-on-Chip. Jin-Ho Ahn Hoseo University

Design and Test Solutions for Networks-on-Chip. Jin-Ho Ahn Hoseo University Design and Test Solutions for Networks-on-Chip Jin-Ho Ahn Hoseo University Topics Introduction NoC Basics NoC-elated esearch Topics NoC Design Procedure Case Studies of eal Applications NoC-Based SoC Testing

More information

Automating IEEE 1500 Core Test An EDA Perspective

Automating IEEE 1500 Core Test An EDA Perspective IEEE Std 1500 and Its Usage Automating IEEE 1500 Test An EDA Perspective Krishna Chakravadhanula and Vivek Chickermane Cadence Design Systems Editor s note: Standardized design and test practices enable

More information

POLITECNICO DI TORINO Repository ISTITUZIONALE

POLITECNICO DI TORINO Repository ISTITUZIONALE POLITECNICO DI TORINO Repository ISTITUZIONALE exploration and validation using transaction level models Original exploration and validation using transaction level models / Kochte, M.A; Zollen, C.G; Imhof,

More information

Chapter 1. Introduction. System-on-Chip Test Architectures Ch. 1 Introduction - P. 1 EE141

Chapter 1. Introduction. System-on-Chip Test Architectures Ch. 1 Introduction - P. 1 EE141 Chapter 1 Introduction System-on-Chip Test Architectures Ch. 1 Introduction - P. 1 What is this chapter about? Review of Importance of Testing Yield and Reject Rate Reliability and System Availability

More information

BOUNDARY-SCAN DFT & LAYOUT PRINCIPLES at BOARD LEVEL

BOUNDARY-SCAN DFT & LAYOUT PRINCIPLES at BOARD LEVEL BOUNDARY-SCAN DFT & LAYOUT PRINCIPLES at BOARD LEVEL Ian Saunders Ians@jtag.co.uk JTAG TECHNOLOGIES B.V. UK Sales & Support Centre Tel: 01234 831212 Fax: 01234 831616 Design For Test - Component Selection

More information

Mixed-Signals Integrated Circuit Testing

Mixed-Signals Integrated Circuit Testing Mixed-Signals Integrated Circuit Testing Salvador MIR TIMA Laboratory 46 Av. Félix Viallet 38031 Grenoble salvador.mir@imag.fr Montpellier, 27 th March 2007 1 Outline 1 2 3 4 5 6 Introduction Analog versus

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab CMOS INTEGRATED CIRCUIT DESIGN TECHNIQUES University of Ioannina VLSI Testing Dept. of Computer Science and Engineering Y. Tsiatouhas CMOS Integrated Circuit Design Techniques Overview 1. VLSI testing

More information

IJTAG (Internal JTAG): A Step Toward a DFT Standard

IJTAG (Internal JTAG): A Step Toward a DFT Standard IJTAG (Internal JTAG): A Step Toward a DFT Standard Jeff Rearick, Al Crouch, Ken Posse, Ben Bennets, Bill Eklow This paper is to appear at: 2005 International Test Conference Purpose Provide background

More information

A novel approach to Reusable Time-economized STIL based pattern development

A novel approach to Reusable Time-economized STIL based pattern development A novel approach to Reusable Time-economized STIL based pattern development by Rahul Malhotra Under the Supervision of Dr. Sujay Deb Fabio Carlucci Indraprastha Institute of Information Technology Delhi

More information