SoC Design Lecture 14: SoC Testing. Shaahin Hessabi Department of Computer Engineering Sharif University of Technology

Size: px
Start display at page:

Download "SoC Design Lecture 14: SoC Testing. Shaahin Hessabi Department of Computer Engineering Sharif University of Technology"

Transcription

1 SoC Design Lecture 14: SoC Testing Shaahin Hessabi Department of Computer Engineering Sharif University of Technology

2 Outline Introduction to Testing Importance of SoC Testing Challenges of SoC Testing Basics of SoC Testing IEEE 1500 Embedded Core Test Standard NoC Testing Case Studies Moore s Law and Test Challenges Page 2 of 55

3 Moore s Law results from decreasing feature size (dimensions) from 10s of μm to 10s of nm for transistors and interconnecting wires Importance of Testing Operating frequencies have increased from 100KHz to several GHz Decreasing feature size increases probability of defects during manufacturing process A single faulty transistor or wire results in faulty IC Testing required to guarantee fault-free products Test may account for more than 70% of the total manufacturing cost - test cost does not directly scale with transistor count, dies size, device pin count, or process technology. [ITRS 03] Test data volume and testing time in 2010 will 30X that for today s chips. [ITRS 05] Lecture 14: SoC Testing Page 3 of 55

4 Failure Rate Example Assume system with 500 components Each component has a failure rate i = 1000 FITS (failures in tera seconds) System failure rate =(1000/10 9 ) 500= MTBF = 1/ = 2000 hours Suppose availability of system must be % each year Repair time allocated for system repair each year should be less than: t = T (1 system availability) = ( ) = 315 seconds 5 minutes Only fault tolerance with built-in self-repair (BISR) capability meets system availability requirement. Lecture 14: SoC Testing Page 4 of 55

5 Testing Principle Lecture 14: SoC Testing Page 5 of 55

6 Testing is one of the most expensive parts of chips Logic verification accounts for > 50% of design effort for many chips Debug time after fabrication has enormous opportunity cost Shipping defective parts can sink a company Example: Intel FDIV bug Logic error not caught until > 1M units shipped Recall cost $450M (!!!) A speck of dust on a wafer is sufficient to kill chip Yield of any chip is < 100% Manufacturing Test Must test chips after manufacturing before delivery to customers to only ship good parts Manufacturing testers are very expensive Minimize time on tester Careful selection of test vectors Lecture 14: SoC Testing Page 6 of 55

7 How does a chip fail? Stuck-At Faults Usually failures are shorts between two conductors or opens in a conductor This can cause very complicated behavior A simpler model: Stuck-At Assume all failures cause nodes to be stuck-at 0 or 1, i.e. shorted to GND or V DD Not quite true, but works well in practice Lecture 14: SoC Testing Page 7 of 55

8 Observability & Controllability Observability: ease of observing a node by watching external output pins of the chip Controllability: ease of forcing a node to 0 or 1 by driving input pins of the chip Combinational logic is usually easy to observe and control Finite state machines can be very difficult, requiring many cycles to enter desired state Especially if state transition diagram is not known to the test engineer Lecture 14: SoC Testing Page 8 of 55

9 Test Pattern Generation Manufacturing test ideally would check every node in the circuit to prove it is not stuck. Apply the smallest sequence of test vectors necessary to prove each node is not stuck. Good observability and controllability reduces number of test vectors required for manufacturing test. Reduces the cost of testing Motivates design-for-test Lecture 14: SoC Testing Page 9 of 55

10 Test Generation Process Lecture 14: SoC Testing Page 10 of 55

11 Design for Test (DFT) Design the chip to increase observability and controllability If each register could be observed and controlled, test problem reduces to testing combinational logic between registers. Better yet, logic blocks could enter test mode where they generate test patterns and report the results automatically. Lecture 14: SoC Testing Page 11 of 55

12 Circuit is designed using pre-specified design rules. Test structure (hardware) is added to the verified design: Add a test control (TC) primary input. Structured DFT: Scan Design Replace flip-flops by scan flip-flops (SFF) and connect to form one or more shift registers in the test mode. Make input/output of each scan shift register controllable/observable from PI/PO. Use combinational ATPG to obtain tests for all testable faults in the combinational logic. Add shift register tests and convert ATPG tests into scan sequences for use in manufacturing test. Lecture 14: SoC Testing Page 12 of 55

13 Test generation for combinational logic only Tests for Full-Scan Circuits Separate the test vectors and response data, based on PI, PO and state (F) variables: t i = t ii, t i F i = 1, 2,, n r i = r io, r i F Test application: 1. Scan-in t F i by setting the circuit in test mode 2. Apply t I i 3. Observe r O i 4. Set the circuit in functional mode and capture the response r if into scan register 5. Scan-out r F i while scanning-in t F i+1 by setting the circuit in test mode 6. i i + 1. Go to 2 Sequence length = (n comb + 1) n sff + n comb clock periods n comb = number of combinational vectors Lecture 14: SoC Testing Page 13 of 55 n sff = number of scan flip-flops Scan register must be tested prior to application of scan test sequences. Add n sff +4 to sequence length obtained above

14 1. I/O pins: One pin necessary. 2. Additional area for latches/ffs (area overhead) Scan Design overheads Gate overhead=[4n sff /(n g +10n ff )]x100%; n g =comb. Gates, n ff =FFs; Example: n g = 100k gates, n ff =2k flip-flops, overhead = 6.7%. More accurate estimate must consider scan wiring and layout area. 3. Additional time required to latch the next state into the resisters (speed overhead) Multiplexer delay added in combinational path; approx. two gate-delays. Flip-flop output loading due to one additional fan-out; approx. 5-6%. 4. Additional time required to scan in/out test vectors and responses (testing overhead) 5. Clock generation and distribution is more difficult. Lecture 14: SoC Testing Page 14 of 55

15 Built-In Self-Testing (BIST) Specify test as one of the system functions self-test Useful for field test and diagnosis (less expensive than a local automatic test equipment) Logic Built-In Self-Test (BIST): Incorporates test pattern generator (TPG) and output response analyzer (ORA) internal to design Chip can test itself Can be used at all levels of testing SoC PCB system field operation Combine with scan approach at the design stage Crucial for safety-critical and mission-critical applications Lecture 14: SoC Testing Page 15 of 55

16 BIST Architecture Note: BIST cannot test the following wires and transistors: From PI pins to Input MUX From POs to output pins High-speed ATE or boundary scan required for these paths. Lecture 14: SoC Testing Page 16 of 55

17 Bed-of-Nails Tester Concept Lecture 14: SoC Testing Page 17 of 55

18 Motivation for Boundary Scan Standard Bed-of-nails printed circuit board tester gone We put components on both sides of PCB & replaced DIPs with flat packs to reduce inductance Nails would hit components Reduced spacing between PCB wires Nails would short the wires PCB Tester must be replaced with built-in test delivery system -- JTAG does that Need standard System Test Port and Bus Integrate components from different vendors One chip has test hardware for other chips Test bus identical for various components Lecture 14: SoC Testing Page 18 of 55

19 Scan design applied to I/O buffers of chip Used for testing interconnect on PCB Provides access to internal DFT capabilities IEEE standard 4-wire (TCK, TMS, TDI, TDO) Test Access Port (TAP) Boundary Scan boundary-scan cell (BSC) and operation modes bidirectional buffer with BSCs Lecture 14: SoC Testing Page 19 of 55

20 Boundary Scan Architecture 1. Instruction sent (serially) through TDI into instruction register. 2. Selected test circuitry configured to respond to the instruction. 3. Test pattern shifted into selected data register and applied to logic to be tested 4. Test response captured into some data register 5. Captured response shifted out; new test pattern shifted in simultaneously 6. Steps 3-5 repeated until all test patterns are applied. Lecture 14: SoC Testing Page 20 of 55

21 SoC Testing SoC testing is a composite test comprised of individual tests for each core, userdefined logic (UDL) tests, and interconnect tests. To avoid cumbersome format translation for IP cores, SoC and core development working groups such as virtual socket interface alliance (VSIA) have been formed to propose standards. IEEE 1500 standard has been announced to facilitate SoC testing. IEEE 1500 specifies interface standard which allows cores to fit quickly into virtual sockets on SoC. Page 21 of 55

22 Testing Responsibilities of Participants Core creators (VC providers) Testable core design Provide access and isolation mechanisms Generate test benches and fault coverage EDA vendors ATPG tools DFT (testability insertion) tools, scan, BIST Fault grading tools Testability integration (boundary scan, BIST) tools Core integrators (VC integrators) Provide access to individual cores Isolate cores during testing Test interconnect between cores Test SoC as a whole Test scheduling and integration Core-Based system manufacturers (Fabs) Apply SoC-level test Debug and diagnosis Page 22 of 55

23 SoC Test Problems/Requirements Mixing technologies: logic, processor, memory, analog Need various DFT/BIST/other techniques 80% of an SoC design could contain embedded memories Need for advanced memory test techniques such as built-in self-diagnosis (BISD) and built-in self-repair (BISR) of memory defects. 10% of an SoC design that contains analog circuits could contribute to 90% of the total test cost during manufacturing test. Deeply embedded cores (not always directly accessible from chip I/Os) Need Test Access Mechanism TAMs impact test time and test cost Chips and bare dies manufactured and tested access available replaceable elements Embedded cores not manufactured access mechanism needed not replaceable Page 23 of 55

24 SoC Test Problems/Requirements (cont d) Generally, core users cannot access core net-lists and insert DFT circuits. Core users rely on test patterns supplied by core vendors, that guarantee a specific fault coverage. Patterns must be applied to cores in a given order, using specific clocking strategy. Make sure that undesirable test patterns and clock skews are not introduced into test streams. Hierarchical core reuse Need hierarchical test management Different core providers and SoC test developers Need standard for test integration Analog and mixed-signal core testing must be dealt with. Failure mechanisms and test requirements are less known than digital cores. IP protection/test reuse Need core test standard/documentation Higher-performance core pins than SoC pins Need on-chip, at-speed testing Page 24 of 55

25 Analog signals are tested within specified bands/limits. Analog Test Issues Analog signals are sensitive to process variations performance-sensitive to the process (parameter variation, correlation, mismatch, noise, ). Prevents the abstraction of a standard analog fault model if the manufacturing process changes. Lack of analog DFT methodologies. Specification-driven testing of analog circuits, manual test generation, and lack of robust EDA tools, resulting in long test development times. The yield vs. defect level trade-off in analog testing is nondeterministic, because most analog faults do not result in catastrophic failure. Analog test results are affected by noise and measurement accuracy. Also, pass/fail results do not provide any diagnosis capability and are inadequate, preventing the use of analog BIST methods. Further complicated because any intrusion of a DFT circuit into an analog circuit affects the circuit s performance. Thus, it is difficult to develop analog BIST and DFT methods. Page 25 of 55

26 Test-Wrapper for a Core Core-vendor supplied tests must be applied to embedded cores. Test-wrapper: logic added around a core to provide test access to embedded core. Test-wrapper provides: For each core input terminal A normal mode: Core terminal driven by host chip An external test mode: Wrapper element observes core input terminal for interconnect test An internal test mode: Wrapper element controls state of core input terminal for testing the logic inside core For each core output terminal A normal mode: Host chip driven by core terminal An external test mode: Host chip is driven by wrapper element for interconnect test An internal test mode: Wrapper element observes core outputs for core test Page 26 of 55

27 DFT Architecture for SoC Required structural elements: 1. Test pattern source and sink 2. TAM 3. Core test wrapper Page 27 of 55

28 DFT Components Test source: Provides test vectors via on-chip LFSR, counter, ROM, or off-chip ATE. Test sink: Provides output verification using on-chip signature analyzer, or off-chip ATE. Test access mechanism (TAM): User-defined test data communication structure; carries test signals from source to module, and module to sink; tests module interconnects via test-wrappers; TAM may contain bus, boundary-scan and analog test bus components. Test controller: Boundary-scan test access port (TAP); receives control signals from outside; serially loads test instructions in test-wrappers. Page 28 of 55

29 More SoC Test Problems/Requirements Once TAM and test translation mechanism (test wrapper) are determined, the major challenge for system integrator is test scheduling. Order in which various core tests and tests for user-designed interface logic are applied. Test scheduling must consider several conflicting factors: SoC test time minimization, Resource conflicts due to sharing of TAMs and on-chip BIST engines, Precedence constraints among tests, Power constraints. External ATE inefficiency Need on-chip ATE Test power must be considered Need lower power design or test scheduling Testable design automation Need new testable design tools and flow Page 29 of 55

30 Embedded Core Test Standard System Overview of IEEE 1500 Standard Core with the IEEE 1500 Wrapper TAM Architectures for Parallel Access Comparison between and 1500

31 A System Overview of IEEE 1500 Standard Most important feature: provision of a wrapper on the boundary (I/O terminals) of each core to standardize the test interface of the core. WSP (Wrapper Serial Port): set of I/O terminals of the wrapper for serial operations. WSP =WSI + WSO + WSC WSI (Wrapper Serial Input), WSO (Wrapper Serial Output), Several WSC (Wrapper Serial Control) terminals. WIR (Wrapper Instruction Register): stores the instruction to be executed in the corresponding core, controls operations in the wrapper including accessing WBR (Wrapper Boundary Register), WBY (Wrapper Bypass Register), or other user-defined function registers. WBR consists of WBCs (Wrapper Boundary Cells). Page 31 of 55 Architecture of an SoC with N cores, each wrapped by an IEEE 1500 wrapper:

32 A Core with the IEEE 1500 Wrapper WSP supports serial test mode similar to BS architecture, but without TAP controller. Serial control signals of 1500 directly applies to the cores, hence provide more test flexibility. E.g., supports delay testing that requires a sequence of test patterns to be consecutively applied to a core. Optional parallel test mode with a userdefined, parallel TAM. Transports test signals in parallel, reducing test time. Page 32 of 55

33 TAM Architectures for Parallel Access Different architectures can be implemented in TAM for providing parallel access to control and test signals (input and output) via wrapper parallel port (WPP): a) Multiplexed access: cores time-share the test control and data ports b) Daisy-chained access: output of one core is connected to the input of the next core c) Direct access to each core (distribution architecture) Page 33 of 55

34 Pins in 1500 Standard A chip with 1500-wrapped cores may use the same 4 mandatory pins as in the IEEE standard. An on-chip test controller with the capability of the TAP controller in the boundary-scan standard can be used to generate the WSC for each core. Can also be used to deal with the testing of hierarchical cores in a complex system. Not required nor suggested in the 1500 standard. Page 34 of 55

35 Comparison between and Purpose Board-level Core-based Parallel Mode No Yes Extra Data/Control I/Os Mandatory: TDI, TDO, TMS, TCK Optional: TRST FSM Yes No Transfer Mode No Yes Latency between operations Yes No Mandatory Instructions EXTEST, BYPASS, SAMPLE, PRELOAD Mandatory: WSI, WSO, 6 WSC Optional: TransferDR, WPP, AUXCKn(s) WS_EXTEST, WS_BYPASS, one Wx_INTEST, WS_PRELOAD (cond. required) Page 35 of 55

36 NoC Testing Special Features of NoC Testing NoC vs. SoC Design and Test Testing of Routers Network Interface Testing SoC Examples Network-on-Chip Processor (Cell Processor) SocTesting for PNX8550 System Chip NoC testing for high-end TV system

37 Special Features of NoC Testing Testing an NoC-based system = testing embedded cores + on-chip network. Greatest difference between NoC and SoC testing: test access mechanism design. On-chip-network of NoC can be reused as a TAM for test packet delivery. Theoretically, no TAM interconnects are required to be invested. Test time can be reduced by network reuse even under power constraints, with minimized pin count and area overhead. Generally, more cores can be tested in parallel than TAM-based SoC testing, due to large NoC channel bandwidth. Key point: how to utilize on-chip network as a TAM without compromising fault coverage or test time. Research on NoC testing is still premature when compared to industrial needs, and future research and development are needed. Page 37 of 55

38 SoC Design and Test Design Communication infrastructure is becoming new bottleneck Wire delay Signal integrity Power dissipation Area vs. speed New interconnection schemes needed. Test Test of SoC has been well understood TAM, wrapper Test scheduling IEEE 1500 Test needs dedicated hardware Hardware for mission-mode communication cannot be reused for testing. Page 38 of 55

39 NoC Design and Test Design High performance High bandwidth Low signal delay Reasonable overhead Suitable for large number of cores Network design is versatile Possible next-generation SoC paradigm Test Test of NoC has not received much attention Core testing Router and interconnection testing Test wrapper design Test scheduling No need for dedicated TAMs Network can be reused for testing Page 39 of 55

40 Testing of Routers Routers are used to implement functions of flow control, routing, switching and buffering of packets. Router testing can be treated as sequential circuit testing. Due to NoC s regularity, test pattern broadcasting can be applied to reduce test time. Since all routers are identical, all can be tested in parallel by test pattern broadcasting. Page 40 of 55

41 Testing Routers Testing a router consists of testing the control logic (routing, arbitration, and flow control modules) and FIFO buffers. Control logic can be tested by typical sequential circuit testing methods such as scan testing. A smart way to test FIFO is to configure the first register of FIFO as part of a scan chain, and others can be tested through this scan chain. Since all routers are identical, all can be tested in parallel by test pattern broadcasting. Comparator is implemented by XOR gates. Page 41 of 55

42 Router Test Wrapper Design and Test IEEE-1500 compliant test wrapper is designed to support test pattern broadcasting and test response evaluation. All SC1 chains of these routers share the same set of test patterns. All Din[0] (Din-R0[0],, Din-Rn[0]) data inputs share the same test patterns. Wrapper also supports test response comparison for scan chains/data outputs. Diagnosis control block activates diagnosis. Small HW overhead and small number of test patterns due to test broadcasting. Small test application time using multiple, balanced scan chain and test broadcasting. The method is scalable. Page 42 of 55

43 Network interface (NI) is used to: receive data bits from its corresponding IP core (router), packetize (depacketize) the bits, perform clock domain conversions between the router and the core. Network Interface Testing NI might be the most difficult to test component in an on-chip network, because clock domain conversion introduces non-deterministic device behavior. Damaging to conventional stored response testing. Testing NoC-based system by separating core testing from on-chip network testing is inadequate. Interactions between cores and on-chip network must be tested using extensive functional testing. Interactions between on-chip network components (routers, interconnects, and NIs) must be thoroughly tested by functional testing as well. Page 43 of 55

44 SoC Example: PNX8550 System Chip PNX8550: a chip based on Nexperia digital video platform by Philips. Fabricated using 0.13µm process, 6 metal layers,1.2v, die size is 100mm 2. Entire chip contains 62 logic cores (5 hard, 57 soft), 212 memory cores, and 94 clock domains. Five hard cores: one MIPS CPU, two VLIW TriMedia CPUs, a custom analog block (PLLs and DLLs), and a D-to-A converter. Soft cores: MPEG decoder, UART, PIC 2.2 bus interface, All 62 logic cores are partitioned into 13 chiplets. Chiplet : a group of cores placed together, and connected to a specific set of TAM wires. Page 44 of 55

45 PNX8550 Structure and Test Methods Two device control and status (DCS) networks enable each processor to control/observe on-chip modules. A bridge is used to allow both DCS networks to communicate. CPUs and many modules have access to external memory via a high-speed memory access network. PNX8550 allows test reuse through test wrappers (TestShell), and test access mechanism (TestRail). Test methods: random logic: full scan test with 99% stuck-at fault coverage, small embedded memories: scan test, large memories: BIST. Page 45 of 55

46 PNX8550 Test Strategies There are 140 TAM wires (i.e., 280 chip pins) for the entire chip. Design issues: how to assign these TAM wires to different cores and how to design the wrapper for each core. Requirement: each channel must provide 28M of test data volume and test application time must be minimized. Philips developed a tool called TR-ARCHITECT to deal with these core-based testing requirements. TR-ARCHITECT requires two different kinds of inputs: SoC data file and a list of user options. SoC data file: SoC parameters such as number of cores in the SoC, number of test patterns and number of scan chains in each core. User options: test choices such as number of SoC test pins, type of modules (hard or soft), TAM type (test bus/test rail), architecture type (daisy chain, distribution, or hybrid), test schedule type (serial or parallel for daisy chain), and external bypass per module (yes/no). Page 46 of 55

47 TAM Wires Distribution and Test Architecture Distribution of 140 TAM wires to 13 chiplets is done manually, because TR- ARCHITECT became available half way of PNX8550 design process. Assignment of TAM wires for a chiplet ranges from 2 to 21. Next step is to design the test architecture inside each chiplet. Distribution test architecture is used for all except two chiplets: UMDCS and UTDCS. For these two chiplets (hybrid test architecture), some wires are shared by two or more cores using daisy chain; some cores are connected by distribution architecture. Page 47 of 55

48 Test Architecture Design for Each Chiplet Test architecture design is trivial if chiplet under consideration has only one core. Test wrapper of the core can be designed based on TAM wires assigned and core parameters. For a chiplet containing multiple cores and using distribution test architecture, TR- ARCHITECT determines the number of TAM wires assigned to each core and designs the test wrapper for the core. For both chiplets with hybrid test architecture, TRARCHITECT determines number of TAM-wire groups, width assigned to each group, assignment of cores to each group, designs the test wrapper for each core. Page 48 of 55

49 NoC Testing for High--End TV Companion Chip by Phillips A high-end TV system with two chips: main chip (PNX8558 discussed above), companion chip (implementing more advanced technologies that will not be released to competitors) [Steenhof 2006]. Page 49 of 55

50 Main TV Chip and Companion Chip Main TV chip (PNX 8550) controls entire system and interacts with users, TV sources, TV display, peripherals, and configuration of companion chip. Companion chip contains nine IP blocks for enhancing video quality. Main and companion chips have their own dedicated interconnect structures. connected using a high-speed external link (HSEL). Advantages of partitioning a complex system into main and companion chips: reducing development risk (because of implementing smaller and less complex chips), managing different innovation rates in different market segments, encapsulating different functionality. Page 50 of 55

51 Companion Chip: NoC Implementation On-chip network contains routers (R), interconnects, and network interface (NI). Each NI contains one kernel (K), one shell (S), and several ports. Mainly, it is a 2x2 mesh NoC. Numbers of master (M) and slave (S) ports are indicated in each NI. Ports are connected to IPs of processors, DSPs, or memory arrays. New HSEL is used to attach another companion chip (e.g., FPGA). Page 51 of 55

52 Test Methods for Phillips AEthreal NoC AEthereal NoC is configured at run time with the required task diagram. NoC structure offers great flexibility and reuse potential of the companion chip with the price of: increased area (4%), larger power consumption (12%), and larger latency (10%). Test methods for AEthreal NoC architecture can be found in [Vermeulen 2003]. On-chip network can be treated as a core for testing; Knowledge about on-chip network can be used to enhance standard core-based test approach to get better results. For example: E.g. all identical blocks (e.g., all routers) can be tested by test broadcasting, while test responses can be compared to each other and any mismatch will be sent off-chip. Page 52 of 55

53 Test Methods for Phillips AEthreal NoC (cont d) Timing test is extremely important because: 1) long wires in NoC may cause crosstalk errors can be dealt with by [Grecu 2006]: C. Grecu, P. Pande, A. Ivanov, and R. Saleh, BIST for network-on-chip interconnect infrastructures, in Proc. IEEE VLSI Test Symp., pp , April ) clock boundaries between cores are in NIs and timing errors can occur. still waiting for good solution. Once on-chip network fully tested, it can be used to transfer data for core testing. No TAM wires are required for testing, and NoC is fully reused for core testing. NoC structure also supports parallel testing if channel capacity can support parallel data transportation with a specific power budget. Page 53 of 55

54 Concluding Remarks on SoC/NoC Testing Modular test techniques for digital, mixed-signal, and hierarchical SoCs must be developed further to keep pace with technology advances. Test data bandwidth needs for analog cores are very different from digital cores, and unified top-level testing of mixed-signal SoCs remains a major challenge. Research is also needed to develop wrapper design techniques and test planning methods for multi-frequency core testing standard doesn t address wrapper design for at-speed testing of such cores. Key point: how to utilize on-chip network as a TAM without compromising fault coverage or test time. Research on NoC testing is still premature when compared to industrial needs, and future research and development are needed. Currently limited support for various network topologies, routing strategies, Wrapper design techniques for SoC testing can be adopted by NoC-based systems. Page 54 of 55

55 Moore s Law and Test Challenges Moore s law: the number of transistors integrated per square inch will double approximately every 18 months. To keep track of Moore s law: die size, feature size, gate delay, interconnect delay To reduce interconnect delay, interconnects are made wider and taller. Causes crosstalk noises between adjacent lines due to capacitive and inductive coupling (called signal integrity problem). This is very difficult to test. Power integrity: clock frequency, supply voltage, power supply voltage can drop by L(di/dt). This is very difficult to test. Process variation: precise control of Si process is becoming more difficult. Example: hard to control effective channel length of a transistor. Power and delay exhibit large variability. This is hard to detect. Page 55 of 55

Testable SOC Design. Sungho Kang

Testable SOC Design. Sungho Kang Testable SOC Design Sungho Kang 2001.10.5 Outline Introduction SOC Test Challenges IEEE P1500 SOC Test Strategies Conclusion 2 SOC Design Evolution Emergence of very large transistor counts on a single

More information

Jin-Fu Li. Department of Electrical Engineering. Jhongli, Taiwan

Jin-Fu Li. Department of Electrical Engineering. Jhongli, Taiwan Chapter 9 Basics of SOC Testing Jin-Fu Li Advanced Reliable Systems (ARES) Lab Department of Electrical Engineering National Central University Jhongli, Taiwan Outline Introduction SOC Test Challenge SOC

More information

IEEE P1500, a Standard for System on Chip DFT

IEEE P1500, a Standard for System on Chip DFT page 1(6) IEEE P1500, a Standard for System on Chip DFT Kim Petersén HDC, Hardware Design Center 723 50 Västerås Sweden Email: kim.petersen@hdc.se key words: IP, DFT, SoC, BIST, BISR ABSTRACT This document

More information

Digital Integrated Circuits

Digital Integrated Circuits Digital Integrated Circuits Lecture Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University Design/manufacture Process Chung EPC655 2 Design/manufacture Process Chung EPC655 3 Layout

More information

IEEE JTAG Boundary Scan Standard

IEEE JTAG Boundary Scan Standard IEEE 1149.1 JTAG Boundary Scan Standard Bed-of-nails tester Motivation System view of boundary scan hardware Elementary scan cell Test Access Port (TAP) controller Boundary scan instructions Example *Joint

More information

CMOS Testing: Part 1. Outline

CMOS Testing: Part 1. Outline CMOS Testing: Part 1 Introduction Fault models Stuck-line (single and multiple) Bridging Stuck-open Test pattern generation Combinational circuit test generation Sequential circuit test generation ECE

More information

Chapter 8 Test Standards. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan

Chapter 8 Test Standards. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Chapter 8 Test Standards Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline 1149.1 standard for system-on-board 1500 standard for system-on-chip Advanced

More information

Embedded Quality for Test. Yervant Zorian LogicVision, Inc.

Embedded Quality for Test. Yervant Zorian LogicVision, Inc. Embedded Quality for Test Yervant Zorian LogicVision, Inc. Electronics Industry Achieved Successful Penetration in Diverse Domains Electronics Industry (cont( cont) Met User Quality Requirements satisfying

More information

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors)

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors) 1 COEN-4730 Computer Architecture Lecture 12 Testing and Design for Testability (focus: processors) Cristinel Ababei Dept. of Electrical and Computer Engineering Marquette University 1 Outline Testing

More information

Design and Test Solutions for Networks-on-Chip. Jin-Ho Ahn Hoseo University

Design and Test Solutions for Networks-on-Chip. Jin-Ho Ahn Hoseo University Design and Test Solutions for Networks-on-Chip Jin-Ho Ahn Hoseo University Topics Introduction NoC Basics NoC-elated esearch Topics NoC Design Procedure Case Studies of eal Applications NoC-Based SoC Testing

More information

Boundary Scan. Sungho Kang. Yonsei University

Boundary Scan. Sungho Kang. Yonsei University Boundary Scan Sungho Kang Yonsei University Outiline Introduction TAP Controller Instruction Register Test Data Registers Instructions Hardware Test Innovations PCB Test Conclusion 2 Boundary Scan Improve

More information

JTAG TAP CONTROLLER PROGRAMMING USING FPGA BOARD

JTAG TAP CONTROLLER PROGRAMMING USING FPGA BOARD JTAG TAP CONTROLLER PROGRAMMING USING FPGA BOARD 1 MOHAMED JEBRAN.P, 2 SHIREEN FATHIMA, 3 JYOTHI M 1,2 Assistant Professor, Department of ECE, HKBKCE, Bangalore-45. 3 Software Engineer, Imspired solutions,

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 10 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Content Manufacturing Defects Wafer defects Chip defects Board defects system defects

More information

UNIT IV CMOS TESTING

UNIT IV CMOS TESTING UNIT IV CMOS TESTING 1. Mention the levels at which testing of a chip can be done? At the wafer level At the packaged-chip level At the board level At the system level In the field 2. What is meant by

More information

IEEE P1500 Core Test Standardization

IEEE P1500 Core Test Standardization Technical Proposals for IEEE P1500 Core Test Standardization Erik Jan Marinissen Research Laboratories Eindhoven, The Netherlands P1500 Meeting ITC Test Week, Washington D.C., November, 1997 Technical

More information

Lecture 28 IEEE JTAG Boundary Scan Standard

Lecture 28 IEEE JTAG Boundary Scan Standard Lecture 28 IEEE 49. JTAG Boundary Scan Standard Motivation Bed-of-nails tester System view of boundary scan hardware Elementary scan cell Test Access Port (TAP) controller Boundary scan instructions Summary

More information

Impact of DFT Techniques on Wafer Probe

Impact of DFT Techniques on Wafer Probe Impact of DFT Techniques on Wafer Probe Ron Leckie, CEO, INFRASTRUCTURE ron@infras.com Co-author: Charlie McDonald, LogicVision charlie@lvision.com The Embedded Test Company TM Agenda INFRASTRUCTURE Introduction

More information

SoC Design Flow & Tools: SoC Testing

SoC Design Flow & Tools: SoC Testing SoC Design Flow & Tools: SoC Testing Jiun-Lang Huang Graduate Institute of Electronics Engineering Department of Electrical Engineering National Taiwan University Outline l SoC Test Challenges l Test Access

More information

A Strategy for Interconnect Testing in Stacked Mesh Network-on- Chip

A Strategy for Interconnect Testing in Stacked Mesh Network-on- Chip 2010 25th International Symposium on Defect and Fault Tolerance in VLSI Systems A Strategy for Interconnect Testing in Stacked Mesh Network-on- Chip Min-Ju Chan and Chun-Lung Hsu Department of Electrical

More information

A Built-in Self-Test for System-on-Chip

A Built-in Self-Test for System-on-Chip A Built-in Self-Test for System-on-Chip Rashid Rashidzadeh University of Windsor Digital 1 Objective : Design an intellectual property (IP) core which enables low speed Automatic Test Equipment (ATE) to

More information

Design and Synthesis for Test

Design and Synthesis for Test TDTS 80 Lecture 6 Design and Synthesis for Test Zebo Peng Embedded Systems Laboratory IDA, Linköping University Testing and its Current Practice To meet user s quality requirements. Testing aims at the

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

SSoCC'01 4/3/01. Specific BIST Architectures. Gert Jervan Embedded Systems Laboratory (ESLAB) Linköping University

SSoCC'01 4/3/01. Specific BIST Architectures. Gert Jervan Embedded Systems Laboratory (ESLAB) Linköping University Specific BIST Architectures Gert Jervan Embedded Systems Laboratory (ESLAB) Linköping University General Concepts Test-per-scan architectures Multiple scan chains Test-per-clock architectures BIST conclusions

More information

INTERCONNECT TESTING WITH BOUNDARY SCAN

INTERCONNECT TESTING WITH BOUNDARY SCAN INTERCONNECT TESTING WITH BOUNDARY SCAN Paul Wagner Honeywell, Inc. Solid State Electronics Division 12001 State Highway 55 Plymouth, Minnesota 55441 Abstract Boundary scan is a structured design technique

More information

Testing And Testable Design of Digital Systems

Testing And Testable Design of Digital Systems بسم الله الرحمان الرحیم Testing And Testable Design of Digital Systems College of Electrical Engineering Iran University of Science and Technology Karim Mohammadi Faut-Tolerant Digital System Design week-1

More information

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Hardware Design Environments Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Outline Welcome to COE 405 Digital System Design Design Domains and Levels of Abstractions Synthesis

More information

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics DFT Trends in the More than Moore Era Stephen Pateras Mentor Graphics steve_pateras@mentor.com Silicon Valley Test Conference 2011 1 Outline Semiconductor Technology Trends DFT in relation to: Increasing

More information

New and Emerging JTAG Standards: Changing the Paradigm of Board Test (A tutorial)

New and Emerging JTAG Standards: Changing the Paradigm of Board Test (A tutorial) New and Emerging JTAG Standards: Changing the Paradigm of Board Test (A tutorial) Artur Jutman November 23 th, 2010 Drammen, NORWAY Presentation Outline Introduction Overview of the standards IEEE 1149.7

More information

INTRODUCTION TO FIELD PROGRAMMABLE GATE ARRAYS (FPGAS)

INTRODUCTION TO FIELD PROGRAMMABLE GATE ARRAYS (FPGAS) INTRODUCTION TO FIELD PROGRAMMABLE GATE ARRAYS (FPGAS) Bill Jason P. Tomas Dept. of Electrical and Computer Engineering University of Nevada Las Vegas FIELD PROGRAMMABLE ARRAYS Dominant digital design

More information

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica VLSI Design Automation 1 Outline Technology trends VLSI Design flow (an overview) 2 IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing

More information

Contents 1 Basic of Test and Role of HDLs 2 Verilog HDL for Design and Test

Contents 1 Basic of Test and Role of HDLs 2 Verilog HDL for Design and Test 1 Basic of Test and Role of HDLs... 1.1 Design and Test... 1.1.1 RTL Design Process... 1.1.2 Postmanufacturing Test... 1.2 Test Concerns... 1.2.1 Test Methods... 1.2.2 Testability Methods... 1.2.3 Testing

More information

Test of NoCs and NoC-based Systems-on-Chip. UFRGS, Brazil. A small world... San Diego USA. Porto Alegre Brazil

Test of NoCs and NoC-based Systems-on-Chip. UFRGS, Brazil. A small world... San Diego USA. Porto Alegre Brazil Test of NoCs and NoC-based Systems-on-Chip Érika Cota Marcelo Lubaszewski UFRGS, Brazil 1 A small world... San Diego USA Porto Alegre Brazil 2 1 Where we are 3 Porto Alegre 4 2 Porto Alegre and UFRGS Porto

More information

VLSI Design Automation. Maurizio Palesi

VLSI Design Automation. Maurizio Palesi VLSI Design Automation 1 Outline Technology trends VLSI Design flow (an overview) 2 Outline Technology trends VLSI Design flow (an overview) 3 IC Products Processors CPU, DSP, Controllers Memory chips

More information

AN IMPLEMENTATION THAT FACILITATE ANTICIPATORY TEST FORECAST FOR IM-CHIPS

AN IMPLEMENTATION THAT FACILITATE ANTICIPATORY TEST FORECAST FOR IM-CHIPS AN IMPLEMENTATION THAT FACILITATE ANTICIPATORY TEST FORECAST FOR IM-CHIPS E.S.D Gireesh Goud 1, Mrs.T.Swetha 2 PG Scholor, DIET, HYD 1, Assistant Professor, DIET, HYD 2 ABSTRACT These designs pose significant

More information

Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of Printed Circuit Board Assembly

Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of Printed Circuit Board Assembly Expanding IEEE Std 1149.1 Boundary-Scan Architecture Beyond Manufacturing Test of Printed Circuit Board Assembly Jun Balangue Keysight Technologies Singapore Jun_balangue@keysight.com Abstract This paper

More information

A Research Paper on Designing a TAP(Test Access Port)

A Research Paper on Designing a TAP(Test Access Port) A Research Paper on Designing a TAP(Test Access Port) 1 Mr. VISHWAS K. CHAUDHARY, 2 Mr. MANISH J. PATEL 1, 2 P. G. Students in M.E.(VLSI & ESD) Gujarat Technological University & Seer-Akademi Ahmedabad,

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. Embedded Core Testing (ΙΕΕΕ SECT std) 2

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. Embedded Core Testing (ΙΕΕΕ SECT std) 2 CMOS INTEGRATED CIRCUIT DESIGN TECHNIQUES University of Ioannina Embedded Testing (ΙΕΕΕ 1500 Std. SECT) Dept. of Computer Science and Engineering Y. Tsiatouhas CMOS Integrated Circuit Design Techniques

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION Rapid advances in integrated circuit technology have made it possible to fabricate digital circuits with large number of devices on a single chip. The advantages of integrated circuits

More information

P1149.1A Extensions to IEEE-STD

P1149.1A Extensions to IEEE-STD AN-890 Fairchild Semiconductor Application Note February 1994 Revised May 2001 P1149.1A Extensions to IEEE-STD-1149.1-1990 Abstract Since publication of IEEE-1149.1-1990/ANSI 1, 2, 3, extensions and requests

More information

Early Design Review of Boundary Scan in Enhancing Testability and Optimization of Test Strategy

Early Design Review of Boundary Scan in Enhancing Testability and Optimization of Test Strategy Early Design Review of Boundary Scan in Enhancing Testability and Optimization of Test Strategy Sivakumar Vijayakumar Keysight Technologies Singapore Abstract With complexities of PCB design scaling and

More information

VLSI System Testing. Lecture 1 Introduction Class website: people.ee.duke.edu/~krish/teaching/538.html

VLSI System Testing. Lecture 1 Introduction Class website: people.ee.duke.edu/~krish/teaching/538.html ECE 538 VLSI System Testing Krish Chakrabarty Lecture 1: Overview Krish Chakrabarty 1 Lecture 1 Introduction Class website: people.ee.duke.edu/~krish/teaching/538.html VLSI realization process Verification

More information

Digital VLSI Testing. Week 1 Assignment Solution

Digital VLSI Testing. Week 1 Assignment Solution Digital VLSI Testing Week 1 Assignment Solution Q1. Primary objective of testing is to guarantee (A) Fault-free products (B) Detection of design error (C) Reduction of product cost (D) All of these Ans:

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 8(2) I DDQ Current Testing (Chapter 13) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Learning aims Describe the

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 8 (1) Delay Test (Chapter 12) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Learning aims Define a path delay fault

More information

Chapter 9. Design for Testability

Chapter 9. Design for Testability Chapter 9 Design for Testability Testability CUT = Circuit Under Test A design property that allows: cost-effective development of tests to be applied to the CUT determining the status of the CUT (normal

More information

BISTed cores and Test Time Minimization in NOC-based Systems

BISTed cores and Test Time Minimization in NOC-based Systems BISTed cores and Test Time Minimization in NOC-based Systems Érika Cota 1 Luigi Carro 1,2 Flávio Wagner 1 Marcelo Lubaszewski 1,2 1 PPGC - Instituto de Informática 2 PPGEE - Depto. Engenharia Elétrica

More information

Keysight Technologies Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of PCBA

Keysight Technologies Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of PCBA Keysight Technologies Expanding IEEE Std 1149.1 Boundary-Scan Architecture Beyond Manufacturing Test of PCBA Article Reprint This paper was first published in the 2017 IPC APEX Technical Conference, CA,

More information

Programovatelné obvody a SoC. PI-PSC

Programovatelné obvody a SoC. PI-PSC Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Programovatelné obvody a SoC. PI-PSC doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologií ČVUT v

More information

Chapter 2 Why use the IEEE 1500 Standard?

Chapter 2 Why use the IEEE 1500 Standard? Chapter 2 Why use the IEEE 1500 Standard? 2.1 Introduction Before the question of Why use the IEEE 1500 Standard? is asked, another question should be addressed. Why do we need a wrapper at all? A wrapper

More information

Abstract. 1 Introduction. 2 Time Domain Multiplexed TAM

Abstract. 1 Introduction. 2 Time Domain Multiplexed TAM Time Domain Multiplexed TAM: Implementation and Comparison Zahra sadat Ebadi and Andre Ivanov Department of Electrical and Computer Engineering University of British Columbia Vancouver, BC, Canada V6T

More information

Metodologie di progetto HW Il test di circuiti digitali

Metodologie di progetto HW Il test di circuiti digitali Metodologie di progetto HW Il test di circuiti digitali Introduzione Versione del 9/4/8 Metodologie di progetto HW Il test di circuiti digitali Introduction VLSI Realization Process Customer s need Determine

More information

Nanometer technologies enable higher-frequency designs

Nanometer technologies enable higher-frequency designs By Ron Press & Jeff Boyer Easily Implement PLL Clock Switching for At-Speed Test By taking advantage of pattern-generation features, a simple logic design can utilize phase-locked-loop clocks for accurate

More information

l Some materials from various sources! Soma 1! l Apply a signal, measure output, compare l 32-bit adder test example:!

l Some materials from various sources! Soma 1! l Apply a signal, measure output, compare l 32-bit adder test example:! Acknowledgements! Introduction and Overview! Mani Soma! l Some materials from various sources! n Dr. Phil Nigh, IBM! n Principles of Testing Electronic Systems by S. Mourad and Y. Zorian! n Essentials

More information

IMPROVES. Initial Investment is Low Compared to SoC Performance and Cost Benefits

IMPROVES. Initial Investment is Low Compared to SoC Performance and Cost Benefits NOC INTERCONNECT IMPROVES SOC ECONO CONOMICS Initial Investment is Low Compared to SoC Performance and Cost Benefits A s systems on chip (SoCs) have interconnect, along with its configuration, verification,

More information

Boundary Scan Implementation

Boundary Scan Implementation OpenCORES s Boundary Scan Implementation Abstract This document describes Boundary Scan Implementation (software and hardware solution. It is fully IEEE 1149.1 compliant. Date : August 6, 2000 Version:

More information

IEEE Std : What? Why? Where?

IEEE Std : What? Why? Where? Proceedings of DCIS 2012: xxvii th conference on design of circuits and integrated systems IEEE Std 1149.7: What? Why? Where? Francisco R. Fernandes 1, Ricardo J. S. Machado 1, José M. M. Ferreira 1,2,

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 4(part 2) Testability Measurements (Chapter 6) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Previous lecture What

More information

Driving 3D Chip and Circuit Board Test Into High Gear

Driving 3D Chip and Circuit Board Test Into High Gear Driving 3D Chip and Circuit Board Test Into High Gear Al Crouch ASSET InterTech, Inc. Emerging Standards and 3D Chip Test Taken independently, the pending ratification of one IEEE standard and the recent

More information

Outline. Field Programmable Gate Arrays. Programming Technologies Architectures. Programming Interfaces. Historical perspective

Outline. Field Programmable Gate Arrays. Programming Technologies Architectures. Programming Interfaces. Historical perspective Outline Field Programmable Gate Arrays Historical perspective Programming Technologies Architectures PALs, PLDs,, and CPLDs FPGAs Programmable logic Interconnect network I/O buffers Specialized cores Programming

More information

Automating IEEE 1500 Core Test An EDA Perspective

Automating IEEE 1500 Core Test An EDA Perspective IEEE Std 1500 and Its Usage Automating IEEE 1500 Test An EDA Perspective Krishna Chakravadhanula and Vivek Chickermane Cadence Design Systems Editor s note: Standardized design and test practices enable

More information

Embedded Systems: Hardware Components (part II) Todor Stefanov

Embedded Systems: Hardware Components (part II) Todor Stefanov Embedded Systems: Hardware Components (part II) Todor Stefanov Leiden Embedded Research Center, Leiden Institute of Advanced Computer Science Leiden University, The Netherlands Outline Generic Embedded

More information

Chapter 1. Introduction. System-on-Chip Test Architectures Ch. 1 Introduction - P. 1 EE141

Chapter 1. Introduction. System-on-Chip Test Architectures Ch. 1 Introduction - P. 1 EE141 Chapter 1 Introduction System-on-Chip Test Architectures Ch. 1 Introduction - P. 1 What is this chapter about? Review of Importance of Testing Yield and Reject Rate Reliability and System Availability

More information

Overview the Proposed IEEE P1500 Scaleable Architecture for Testing Embedded Cores

Overview the Proposed IEEE P1500 Scaleable Architecture for Testing Embedded Cores Unapproved Working Document Overview the Proposed Scaleable Architecture for Testing Embedded Cores Presented on behalf of the CTAG Team by Mike Ricchetti June 7th, at DAC 2000 Architecture Task Force,

More information

Metodologie di progetto HW Il test di circuiti digitali

Metodologie di progetto HW Il test di circuiti digitali Metodologie di progetto HW Il test di circuiti digitali Introduzione Versione del 9/4/8 Metodologie di progetto HW Il test di circuiti digitali Introduction Pag. 2 VLSI Realization Process Customer s need

More information

Nexus Instrumentation architectures and the new Debug Specification

Nexus Instrumentation architectures and the new Debug Specification Nexus 5001 - Instrumentation architectures and the new Debug Specification Neal Stollon, HDL Dynamics Chairman, Nexus 5001 Forum neals@hdldynamics.com nstollon@nexus5001.org HDL Dynamics SoC Solutions

More information

EE434 ASIC & Digital Systems Testing

EE434 ASIC & Digital Systems Testing EE434 ASIC & Digital Systems Testing Spring 2015 Dae Hyun Kim daehyun@eecs.wsu.edu 1 Introduction VLSI realization process Verification and test Ideal and real tests Costs of testing Roles of testing A

More information

ENG04057 Teste de Sistema Integrados. Prof. Eric Ericson Fabris (Marcelo Lubaszewski)

ENG04057 Teste de Sistema Integrados. Prof. Eric Ericson Fabris (Marcelo Lubaszewski) ENG04057 Teste de Sistema Integrados Prof. Eric Ericson Fabris (Marcelo Lubaszewski) Março 2011 Slides adapted from ABRAMOVICI, M.; BREUER, M.; FRIEDMAN, A. Digital Systems Testing and Testable Design.

More information

TEST SCHEDULING OPTIMIZATION FOR EMBEDDED CORE TESTING

TEST SCHEDULING OPTIMIZATION FOR EMBEDDED CORE TESTING TEST SCHEDULING OPTIMIZATION FOR EMBEDDED CORE TESTING K. CHAKRAPANI 1, DR. P. NEELAMEGAM 2 School of Computing SASTRA University School of EEE SASTRA University ABSTRACT Test scheduling is crucially important

More information

System Testability Using Standard Logic

System Testability Using Standard Logic System Testability Using Standard Logic SCTA037A October 1996 Reprinted with permission of IEEE 1 IMPORTANT NOTICE Texas Instruments (TI) reserves the right to make changes to its products or to discontinue

More information

Boundary Scan. Sungho Kang. Yonsei University

Boundary Scan. Sungho Kang. Yonsei University Boundary Scan Sungho Kang Yonsei University Outiline Introduction TAP Controller Instruction Register Test Data Registers Instructions Hardware Test Innovations PCB Test Conclusion 2 Boundary Scan Improve

More information

Testing TAPed Cores and Wrapped Cores With The Same Test Access Mechanism Λ

Testing TAPed Cores and Wrapped Cores With The Same Test Access Mechanism Λ Testing TAPed Cores and Wrapped Cores With The Same Test Access Mechanism Λ Mounir Benabdenbi y Walid Maroufi z Meryem Marzouki LIP6 Laboratory Couloir 55-65, 4 Place Jussieu, 75252 Paris Cedex 5, France

More information

Digital Design Methodology (Revisited) Design Methodology: Big Picture

Digital Design Methodology (Revisited) Design Methodology: Big Picture Digital Design Methodology (Revisited) Design Methodology Design Specification Verification Synthesis Technology Options Full Custom VLSI Standard Cell ASIC FPGA CS 150 Fall 2005 - Lec #25 Design Methodology

More information

DFT for Regular Structures

DFT for Regular Structures DFT for Regular Structures Regular Structure Fault Models RAM BIST Architectures ROM & PLA BIST Architectures Bypassing During BIST Benefits & Limitations C. Stroud 11/06 BIST for Regular Structures 1

More information

3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012

3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012 3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012 What the fuss is all about * Source : ECN Magazine March 2011 * Source : EDN Magazine

More information

Lecture 2 VLSI Testing Process and Equipment

Lecture 2 VLSI Testing Process and Equipment Lecture 2 VLSI Testing Process and Equipment Motivation Types of Testing Test Specifications and Plan Test Programming Test Data Analysis Automatic Test Equipment Parametric Testing Summary VLSI Test:

More information

Physical Implementation

Physical Implementation CS250 VLSI Systems Design Fall 2009 John Wawrzynek, Krste Asanovic, with John Lazzaro Physical Implementation Outline Standard cell back-end place and route tools make layout mostly automatic. However,

More information

Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks

Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks Charles Stroud, Ping Chen, Srinivasa Konala, Dept. of Electrical Engineering University of Kentucky and Miron Abramovici

More information

CAD for VLSI. Debdeep Mukhopadhyay IIT Madras

CAD for VLSI. Debdeep Mukhopadhyay IIT Madras CAD for VLSI Debdeep Mukhopadhyay IIT Madras Tentative Syllabus Overall perspective of VLSI Design MOS switch and CMOS, MOS based logic design, the CMOS logic styles, Pass Transistors Introduction to Verilog

More information

Digital Design Methodology

Digital Design Methodology Digital Design Methodology Prof. Soo-Ik Chae Digital System Designs and Practices Using Verilog HDL and FPGAs @ 2008, John Wiley 1-1 Digital Design Methodology (Added) Design Methodology Design Specification

More information

Outline of Presentation

Outline of Presentation Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs Sudheer Vemula and Charles Stroud Electrical and Computer Engineering Auburn University presented at 2006 IEEE Southeastern Symp. On System

More information

TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES

TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES Kewal K. Saluja University of Wisconsin - Madison Motivation, Fault Models and some Callenges Overview Motivation Technology, Test cost, and VLSI realization

More information

BA-BIST: Board Test from Inside the IC Out

BA-BIST: Board Test from Inside the IC Out BA-BIST: Board Test from Inside the IC Out Zoë Conroy, Cisco Al Crouch, Asset InterTech inemi BIST Project 1 05/18/2013 About this Presentation Board-Assist (BA-BIST) is enhanced IC BIST functionality

More information

SoC Design Lecture 11: SoC Bus Architectures. Shaahin Hessabi Department of Computer Engineering Sharif University of Technology

SoC Design Lecture 11: SoC Bus Architectures. Shaahin Hessabi Department of Computer Engineering Sharif University of Technology SoC Design Lecture 11: SoC Bus Architectures Shaahin Hessabi Department of Computer Engineering Sharif University of Technology On-Chip bus topologies Shared bus: Several masters and slaves connected to

More information

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group I N V E N T I V E DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group Moore s Law & More : Tall And Thin More than Moore: Diversification Moore s

More information

A PRACTICAL GUIDE TO COMBINING ICT & BOUNDARY SCAN TESTING

A PRACTICAL GUIDE TO COMBINING ICT & BOUNDARY SCAN TESTING A PRACTICAL GUIDE TO COMBINING ICT & BOUNDARY SCAN TESTING Alan Albee GenRad, Inc. Abstract This paper focuses on the practical aspects of combining boundary scan testing with traditional In-Circuit Test.

More information

Hierarchy-Aware and Area-Efficient Test Infrastructure Design for Core-Based System Chips 1

Hierarchy-Aware and Area-Efficient Test Infrastructure Design for Core-Based System Chips 1 Hierarchy-Aware and Area-Efficient Test Infrastructure Design for Core-Based System Chips Anuja Sehgal, Sandeep Kumar Goel, Erik Jan Marinissen and Krishnendu Chakrabarty Advanced Micro Devices Philips

More information

High Performance Interconnect and NoC Router Design

High Performance Interconnect and NoC Router Design High Performance Interconnect and NoC Router Design Brinda M M.E Student, Dept. of ECE (VLSI Design) K.Ramakrishnan College of Technology Samayapuram, Trichy 621 112 brinda18th@gmail.com Devipoonguzhali

More information

myproject - P PAR Detail

myproject - P PAR Detail myproject - P1149.1 PAR Detail Submitter Email: cjclark@intellitech.com Type of Project: Revision to IEEE Standard PAR Request Date: 24-May-2008 PAR Approval Date: 26-Sep-2008 PAR Expiration Date: 31-Dec-2012

More information

At-Speed On-Chip Diagnosis of Board-Level Interconnect Faults

At-Speed On-Chip Diagnosis of Board-Level Interconnect Faults At-Speed On-Chip Diagnosis of Board-Level Interconnect Faults Artur Jutman Tallinn University of Technology artur@pld.ttu.ee Abstract This article describes a novel approach to fault diagnosis suitable

More information

Development of a Boundary Scan Test controller creation tool

Development of a Boundary Scan Test controller creation tool Eindhoven University of Technology MASTER'S THESIS Development of a Boundary Scan Test controller creation tool by J.H. Coenen Supervisors: Prof. Ir. M.T.M. Segers Ir. M.N.M. Muris The faculty of Electronical

More information

Microelettronica. J. M. Rabaey, "Digital integrated circuits: a design perspective" EE141 Microelettronica

Microelettronica. J. M. Rabaey, Digital integrated circuits: a design perspective EE141 Microelettronica Microelettronica J. M. Rabaey, "Digital integrated circuits: a design perspective" Introduction Why is designing digital ICs different today than it was before? Will it change in future? The First Computer

More information

Design & Implementation of AHB Interface for SOC Application

Design & Implementation of AHB Interface for SOC Application Design & Implementation of AHB Interface for SOC Application Sangeeta Mangal M. Tech. Scholar Department of Electronics & Communication Pacific University, Udaipur (India) enggsangeetajain@gmail.com Nakul

More information

A Case Study. Jonathan Harris, and Jared Phillips Dept. of Electrical and Computer Engineering Auburn University

A Case Study. Jonathan Harris, and Jared Phillips Dept. of Electrical and Computer Engineering Auburn University Built-In Self-Test for System-on on-chip: A Case Study Charles Stroud, Srinivas Garimella,, John Sunwoo, Jonathan Harris, and Jared Phillips Dept. of Electrical and Computer Engineering Auburn University

More information

Programmable Logic Devices II

Programmable Logic Devices II São José February 2015 Prof. Hoeller, Prof. Moecke (http://www.sj.ifsc.edu.br) 1 / 28 Lecture 01: Complexity Management and the Design of Complex Digital Systems Prof. Arliones Hoeller arliones.hoeller@ifsc.edu.br

More information

Board-level testing and IEEE1149.x Boundary Scan standard. Artur Jutman

Board-level testing and IEEE1149.x Boundary Scan standard. Artur Jutman Board-level testing and IEEE1149.x Boundary Scan standard Artur Jutman artur@ati.ttu.ee February 2011 Outline Board level testing challenges Fault modeling at board level (digital) Test generation for

More information

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab CMOS INTEGRATED CIRCUIT DESIGN TECHNIQUES University of Ioannina VLSI Testing Dept. of Computer Science and Engineering Y. Tsiatouhas CMOS Integrated Circuit Design Techniques Overview 1. VLSI testing

More information

BIST-Based Test and Diagnosis of FPGA Logic Blocks

BIST-Based Test and Diagnosis of FPGA Logic Blocks IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 9, NO. 1, FEBRUARY 2001 159 BIST-Based Test and Diagnosis of FPGA Logic Blocks Miron Abramovici, Fellow, IEEE, and Charles E. Stroud,

More information

SECTION 11 JTAG PORT

SECTION 11 JTAG PORT nc. SECTION JTAG PORT MOTOROLA DSP5662 User s Manual - nc.. INTRODUCTION....................................-3.2 JTAG PINS........................................-5.3 TAP CONTROLLER.................................-6.4

More information