isplsi 8000 and 8000V Family Architectural Description

Size: px
Start display at page:

Download "isplsi 8000 and 8000V Family Architectural Description"

Transcription

1 October 21 Introduction The of Register-Intensive, SuperBIG In-System Programmable Logic evices is based on Big Fast Megablocks of 12 registered macrocells and a Global Routing Plane (GRP) structure interconnecting the Big Fast Megablocks. Each Big Fast Megablock contains 12 registered macrocells arranged in six groups of 2, a group of 2 being referred to as a Generic Logic Block, or GLB. Within the Big Fast Megablock, a interconnects the six GLBs to each other and to 24 Big Fast Megablock I/O cells with optional I/O registers. The Global Routing Plane which interconnects the Big Fast Megablocks has an additional 144 global I/Os with optional I/O registers. Outputs from the GLBs in a Big Fast Megablock can drive both the Big Fast Megablock Routing Pool within the Big Fast Megablock and the Global Routing Plane between the Big Fast Megablocks. Switching resources are provided to allow signals in the Global Routing Plane to drive any or all the Big Fast Megablocks in the device. This mechanism allows fast, efficient connections, both within the Big Fast Megablocks and between them. Figure 1. isplsi 884 and 884V Functional Block iagram Global Routing Plane (GRP) with Tristate Bus Lines 1 8karch_6

2 Figure 2. isplsi 8 and 8V GLB I/O Big Fast Megablock Input Tracks AN Array Input Routing General Purpose Big Fast Megablock Input Tracks Feedback Inputs 2 PT PT 1 PT 2 PT 3 43 Product Term Sharing Array Macrocell Shared PT 4 PT 5 PT 6 PT 7 Macrocell 1 Shared 1 PT 8 PT PT 1 PT 11 Fully Populated AN Array Macrocell 2 Shared 2 PT 12 PT 13 PT 14 PT 15 Macrocell 3 Shared 3 To interconnect PT 76 PT 77 PT 78 PT 7 PT 8 Macrocell 1 Shared 1 PT 81 To Output Control MUX Note: Macrocells and 1 do not support Tristate Bus Feedback. Function Selector (E 2 Cell Controlled) 2

3 Generic Logic Block Each GLB contains 2 macrocells and a fully populated, programmable AN-array with 8 general purpose logic product terms. The GLB has 44 inputs which are available in both true and complement form for every product term can be driven from both BRP and GRP. Up to 2 of these inputs can be driven from the local feedback of the GLB for logic functions that require fast feedback. The 8 general purpose product terms can be grouped in 2 sets of four and sent into a Product Term Sharing Array (PTSA) which allows sharing up to a maximum of 28 product terms for a single macrocell function. Alternatively, the PTSA can be bypassed for functions of four product terms or less. Macrocell The 2 registered macrocells in the GLB are driven by the 2 outputs from the PTSA or the PTSA bypass. Each macrocell contains a programmable XOR gate, a programmable register/latch/toggle flip-flop and the necessary clocks and control logic to allow combinatorial or registered operation. The macrocells each have two outputs, one output can be fed back inside the GLB to the AN-array, while the other output drives both the Big Fast Megablock Routing Pool and the Global Routing Plane. This dual or concurrent output capability from the macrocell allows efficient use of the hardware resources. One output can be a registered function for example, while the other output can be an unrelated combinatorial function feeding more complex logic functions elsewhere in the chip. Macrocell registers can be clocked from one of several global, local or product term clocks available on the device. A global, local and product term clock enable is also provided, eliminating the need to gate the clock to the macrocell registers. Reset and preset for the macrocell register is provided from both global and product term signals. The polarity of all of these control signals is selectable on an individual macrocell basis. The macrocell register can be programmed to operate as a -type register, a -type latch or a T-type flip-flop. Figure 3. isplsi 8 and 8V Macrocell isplsi 8 isplsi 8V * Feedback to AN Array PTSA To Big Fast Megablock or Global Interconnect Global Clock Enable Clk En To Specific Global Tristate Bus* Global Clock Global Clock 1 Global Clock 2 R R/L P From Macrocell or 1 GRST Reset pin GRST To All Macrocells and I/O Cells Preset/Reset Input has Global Polarity Control From PT8 *Not available for Macrocells and 1. : Function Selector (E 2 Cell Controlled) 3

4 Embedded Tristate Bus The Global Routing Plane (GRP) includes a 18-line embedded internal tristate bus that enables multiple GLBs to drive the same tracks. This bus can be partitioned into various bus widths such as twelve -line buses, six 18-line buses or three 36-line buses. The GLBs can dynamically share a subset of the Global Routing Plane tracks. This feature eliminates the need to convert tristate buses to wide multiplexers on the programmable device. Up to 18 macrocells per GLB can participate in driving the embedded tristate bus. The remaining two macrocells per GLB are used to generate the internal tristate driver control signals on each data byte (with parity). The embedded tristate bus can also be configured as an extension of an external tristate bus using the bidirectional capability of the I/O cells connected to the Global Routing Plane. The Global Routing Plane I/Os -8 and from each group (I/OGx as defined in the I/O Pin Location Table) can connect to the internal tristate bus as well as the unidirectional/non-tristate global routing channels. I/Os -14 connect only to the global routing channel. The embedded tristate bus has internal bus hold and arbitration features in order to make the function more user friendly. The bus hold feature keeps the internal bus at the previously driven logic state when the bus is not driven to eliminate bus float. The bus arbitration is performed on a first come, first served priority. In other words, once a logic block drives the bus, other logic blocks cannot drive the bus until the first releases the bus. This arbitration feature prevents internal bus contention when there is an overlap between two bus enable signals. Typically, it takes about 3ns to resolve one bus signal coming off the bus to another bus signal driving the bus. The arbitration feature combined with the predictability of CPL, makes the embedded tristate bus the most practical for the real world bus implementations. Figure 4. Embedded Tristate Bus Global I/O Cells GLB 1 BFM 1 Global Routing Pool BFM n Global I/O Cells I/O G <-8> I/O G <15-23> I/O G1 <-8> I/O G1 <15-23> GLB 2 GLB 1 GLB 2 GLB 3 GLB 3 I/O G2 <-8> I/O G2 <15-23> I/O G3 <-8> I/O G3 <15-23> GLB 4 GLB 4 GLB 5 GLB 5 I/O G4 <-8> I/O G4 <15-23> I/O G5 <-8> I/O G5 <15-23> GLB 6 GLB 6 Tristate Bus Lines 4

5 I/O Cell and I/O Controls Control signals for the I/O cell registers are generated using an extra product term within each GLB, or using dedicated input pins. Each GLB has two extra product terms beyond the 8 general purpose available for the macrocell logic. The first additional product term is used as an optional shared product term clock for all the macrocells within the GLB. The second additional product term is then routed to an I/O using a separate routing structure from the Big Fast Megablock Routing Pool and Global Routing Plane. Use of a separate control bus routing structure allows the I/O registers to have many high-speed control signals with no impact on the interconnection of the GLBs and Big Fast Megablocks. The I/O is split into four quadrants, each servicing the I/O cell control requirements for one edge of the device. Signals in the control bus can be independently selected by any or all I/O cells to act as clock, clock enable, output enable, reset or preset. Each Big Fast Megablock has 24 I/O cells. The Global Routing Pool has 144 I/O cells. Each I/O cell can be configured as a combinatorial input, combinatorial output, registered input, registered output or bidirectional I/O. I/O cell registers can be clocked from one of several global, local or product term clocks which are selected from the I/O control bus. A global and product term clock enable is also provided, eliminating the need for the user to gate the clock to the I/O cell registers. Reset and preset for the I/O cell register is provided from both global and product term signals. The polarity of all of these control signals is selectable on an individual I/O cell basis. The I/O cell register can be programmed to operate as a -type register or a -type latch. Figure 5. I/O Cell TOE VCCIO VCCIO VCCIO GLOBAL OE GLOBAL OE1 GLOBAL OE2 GLOBAL OE3 Multiplexed Output From Big Fast Megablock Track Big Fast Megablock I/O Pad GLOBAL I/O CLOCK ENABLE CLKEN Slew Open Rate rain isplsi 8V GLOBAL CLOCK GLOBAL CLOCK2 isplsi 8 GLOBAL I/O CLOCK GLOBAL I/O CLOCK1 UARANT I/O CLOCK R/L To Specific Big Fast Megablock or Global Tracks To Specific Global Tristate Bus P R Global I/O Cell Only GRST : Function Selector (E 2 Cell Controlled) 5

6 Clock istribution The isplsi 8 and 8V family has several clock inputs from which the GLB and the I/O cell register clocks can be driven. There are three Global Clocks (CLK-2), two Global I/O Clocks (GIOCLK-1 for isplsi 8 Family only), and four uadrant Clocks (IOCLK-3). In addition to the clock inputs, there is one GLB clock enable (CLKEN) and one I/O clock enable (IOCLKEN). The clock polarity can be selected at the individual register. The GLB global clocks can be used as master clocks which have the lowest internal clock skew. Global Clock and Global Clock2 in the isplsi 8V Family are also capable of driving the I/O register clocks. Similarly, the quadrant clocks are divided to drive each quadrant s I/Os (defined in the individual data sheets) with minimum clock skew. Boundary Scan In-System Programming (ISP ) of the device is performed via IEEE114.1 compliant JTAG state machine or Lattice ISP state machine for the isplsi 8 family. The BSCAN/ispEN pin selects between the two protocols. The four-wire interface on the isplsi 8 Family includes Test ata In (TI)/Serial ata In (SI), Test Clock (TCK)/Serial Clock (SCLK), Test Mode Select (TMS)/Mode Control (MOE), and Test ata Out (TO)/Serial ata Out (SO). ISP program enable and disable is controlled by the private programming instruction set for the ispjtag interface and by the BSCAN/ispEN pin for the ISP interface. The EPEN signal, when high, enables the Test Access Port (TAP) for programming and test for the isplsi 8V Family. The isplsi 8V Family provides the dedicated four-wire interface via TI, TO, TMS and TCK. In addition to ISP programming, the JTAG state machine also provides standard boundary scan test capability. Standard boundary scan instructions supported are Sample/Preload, Extest, Bypass and High-Z instructions. The boundary scan test registers associated with each of the I/O pins control the state of the I/O pin when the device is not in normal functional mode. This feature allows users to define the state of the I/O pins during test and ISP programming modes. Figure 6. Boundary Scan Register for I/O Pins SCANIN (from previous cell) BSCAN Registers EXTEST PROG_MOE BSCAN Latches HIGHZ TOE Normal Function OE 1 EXTEST PROG_MOE Normal Function 1 I/O Pin SCANOUT (to next cell) Shift R Clock R Update R Reset 6

7 Figure 7. Boundary Scan Register for edicated Input Pins Input Pin SCANIN (from previous cell) SCANOUT (to next cell) Shift R Clock R Timing Model The task of determining the timing through the isplsi 8 and 8V family, just as any CPL, is relatively simple. The device timing model provided in Figure 8 shows the specific delay paths. Once the implementation of a given function is determined either conceptually or from the Fitter/Place and Route software report file, the delay path of the function can easily determined from the timing model. The software timing analyzer reports the timing delays based on the same timing model for a particular design. Note that the internal timing parameters are given for reference only, and are not tested. The external timing parameters are tested and guaranteed for every device. Figure 8. isplsi 8 and 8V Simplified Timing Model I/O pad Input pad Input Buffer and I/O Cell Register #6, t bcom Output #7, t breg buffer Output routing #71, t delays gcom I/O register delays #72, t greg #34, t odreg #25, t obp Output path #35, t odcom #26, t ibp Input path #36, t odz Input buffer #27, t iolat delays #28, t ioco #61, t #2, t iosu BFM Routing Pool bfmi #67, t bfmg #23, t idcom #64, t #24, t idreg #3, t bfmm ioh #31, t z iorst GLB/ #32, t Local feedback iosuce Macrocell Toggle feedback #33, t iohce #54, t floc isplsi 8 Family only #53, Global PTSA t Mcell register Routing ftog #41, t 1pt #45, t Plane #42, t mbp AN array 4ptcom #46, t #43, t mlat 4ptreg #3, #47, t #62, t #44, t mco grpi t ptsa andhs #48, t msu #63, t grpiz PT Mcell controls #4, t mh #65, t grpm #4, #55, t #5, t #66, t t pck mrst grpmz andlp #56, t pcken #51, t msuce #68, t grpb #57, t sck #52, t mbce #58, t scken Bus direct #5, t prst #6, t rdir PT I/O control bus Global control delay #78, t #73, t piock gck #74, t #7, t piocken gcken #75, t #8, t poe giock #76, t #81, t piorst giocken #77, t pioz #82, t qck #83, t goe #84, t toe #85, t gmrst #86, t giorst 8K_Model.eps Output slew rate adders #37, t slf #38, t sls I/O pad 7

Using Proprietary Lattice ISP Devices

Using Proprietary Lattice ISP Devices August 2001 Introduction This document describes how to program Lattice s In-System Programmable (ISP ) devices that utilize the proprietary Lattice ISP State Machine for programming, rather than the IEEE

More information

Input Bus. Description

Input Bus. Description isplsi 2032E In-System Programmable SuperFAST High Density PLD Features SuperFAST HIGH DENSITY IN-SYSTEM PROGRAMMABLE LOGIC 1000 PLD Gates 32 I/O Pins, Two Dedicated Inputs 32 Registers High Speed Global

More information

Using ispgdx to Replace Boundary Scan Bus Devices

Using ispgdx to Replace Boundary Scan Bus Devices Using ispgdx to Replace Introduction are available from Texas Instruments (TI) and National Semiconductor (NS)/ Fairchild Semiconductor for enhancing board-level test of bus interfaces. These TI SCOPE

More information

Boundary Scan Implementation

Boundary Scan Implementation OpenCORES s Boundary Scan Implementation Abstract This document describes Boundary Scan Implementation (software and hardware solution. It is fully IEEE 1149.1 compliant. Date : August 6, 2000 Version:

More information

Input Bus. Description

Input Bus. Description isplsi 2032E In-System Programmable SuperFAST High Density PLD Features SuperFAST HIGH DENSITY IN-SYSTEM PROGRAMMABLE LOGIC 000 PLD Gates 32 I/O Pins, Two Dedicated Inputs 32 Registers High Speed Global

More information

2128E In-System Programmable SuperFAST High Density PLD. isplsi. Functional Block Diagram. Features. Description

2128E In-System Programmable SuperFAST High Density PLD. isplsi. Functional Block Diagram. Features. Description isplsi 2128E In-System Programmable SuperFAST High Density PLD Features SUPERFAST HIGH DENSITY IN-SYSTEM PROGRAMMABLE LOGIC 6000 PLD Gates 128 I/O Pins, Eight Dedicated Inputs 128 Registers High Speed

More information

ispxpld TM 5000MX Family White Paper

ispxpld TM 5000MX Family White Paper ispxpld TM 5000MX Family White Paper October 2002 Overview The two largest segments of the high density programmable logic market have traditionally been nonvolatile, Complex Programmable Logic Devices

More information

Functional Block Diagram. Description

Functional Block Diagram. Description isplsi 1024EA In-System Programmable High Density PLD Features Functional Block Diagram HIGH DENSITY PROGRAMMABLE LOGIC 4000 PLD Gates 48 I/O Pins, Two Dedicated Inputs 144 Registers High Speed Global

More information

USE ispmach 4A5 FOR NEW 5V DESIGNS. isplsi. 1016EA In-System Programmable High Density PLD. Functional Block Diagram. Features.

USE ispmach 4A5 FOR NEW 5V DESIGNS. isplsi. 1016EA In-System Programmable High Density PLD. Functional Block Diagram. Features. isplsi 06EA In-System Programmable High Density PLD Features Functional Block Diagram HIGH-DENSITY PROGRAMMABLE LOGIC 2000 PLD Gates 32 I/O Pins, One Dedicated Input 96 Registers High-Speed Global Interconnect

More information

Introduction to GAL Device Architectures

Introduction to GAL Device Architectures ntroduction to GAL evice Architectures Overview n 195, Lattice Semiconductor introduced a new type of programmable logic device (PL) that transformed the PL market: the Generic Array Logic (GAL) device.

More information

Altera FLEX 8000 Block Diagram

Altera FLEX 8000 Block Diagram Altera FLEX 8000 Block Diagram Figure from Altera technical literature FLEX 8000 chip contains 26 162 LABs Each LAB contains 8 Logic Elements (LEs), so a chip contains 208 1296 LEs, totaling 2,500 16,000

More information

Functional Block Diagram A0 A1 A2 A3 A4 A5 A6 A7. Output Routing Pool. Description

Functional Block Diagram A0 A1 A2 A3 A4 A5 A6 A7. Output Routing Pool. Description isplsi 1048EA In-System Programmable High Density PLD Features HIGH DENSITY PROGRAMMABLE LOGIC 8,000 PLD Gates 96 I/O Pins, Eight Dedicated Inputs 288 Registers High-Speed Global Interconnects Wide Input

More information

Functional Block Diagram A0 A1 A2 A3 A4 A5 A6 A7. Output Routing Pool. Description

Functional Block Diagram A0 A1 A2 A3 A4 A5 A6 A7. Output Routing Pool. Description isplsi 048EA In-System Programmable High Density PLD Features HIGH DENSITY PROGRAMMABLE LOGIC 8,000 PLD Gates 96 I/O Pins, Eight Dedicated Inputs 288 Registers High-Speed Global Interconnects Wide Input

More information

Introduction to Actel FPGA Architecture

Introduction to Actel FPGA Architecture Introduction to ctel FPG rchitecture pplication Note 65 This overview of the different ctel device families covers the major architectural features in sufficient detail to ensure the reader is familiar

More information

Input Bus. Description

Input Bus. Description isplsi 202VE.V In-System Programmable High Density SuperFAST PLD Features SuperFAST HIGH DENSITY IN-SYSTEM PROGRAMMABLE LOGIC 000 PLD Gates 2 Pins, Two Dedicated Inputs 2 Registers High Speed Global Interconnect

More information

USE isplsi 1048EA FOR NEW DESIGNS

USE isplsi 1048EA FOR NEW DESIGNS Lead- Free Package Options Available! isplsi 048E In-System Programmable High Density PLD Features Functional Block Diagram HIGH DENSITY PROGRAMMABLE LOGIC 8,000 PLD Gates 96 I/O Pins, Twelve Dedicated

More information

isplsi 1016EA In-System Programmable High Density PLD Functional Block Diagram Features Description

isplsi 1016EA In-System Programmable High Density PLD Functional Block Diagram Features Description isplsi 06EA In-System Programmable High Density PLD Features HIGH-DENSITY PROGRAMMABLE LOGIC 2000 PLD Gates 32 I/O Pins, One Dedicated Input 96 Registers High-Speed Global Interconnect Wide Input Gating

More information

USE isplsi 2096E FOR NEW DESIGNS

USE isplsi 2096E FOR NEW DESIGNS Lead- Free Package Options Available! isplsi 2096/A In-System Programmable High Density PLD Features ENHANCEMENTS isplsi 2096A is Fully Form and Function Compatible to the isplsi 2096, with Identical Timing

More information

USE isplsi 2032E FOR NEW DESIGNS

USE isplsi 2032E FOR NEW DESIGNS isplsi 202/A In-System Programmable High Density PLD Features ENHANCEMENTS isplsi 202A is Fully Form and Function Compatible to the isplsi 202, with Identical Timing Specifcations and Packaging isplsi

More information

UltraLogic High-Density Flash CPLDs

UltraLogic High-Density Flash CPLDs y fax id: 65 FLASH7i ISR UltraLogic High-ensity Flash CPLs Features Flash In-System Reprogrammable (ISR ) CMOS CPLs Combines on board reprogramming with pinout flexibility and a simple timing model esign

More information

Lead- Free Package Options Available! Input Bus. Description

Lead- Free Package Options Available! Input Bus. Description Lead- Free Package Options Available! isplsi 064VE.V In-System Programmable High Density SuperFAST PLD Features SuperFAST HIGH DENSITY PROGRAMMABLE LOGIC 000 PLD Gates 64 and Pin Versions, Four Dedicated

More information

USE isplsi 1016EA FOR NEW DESIGNS

USE isplsi 1016EA FOR NEW DESIGNS Lead- Free Package Options Available! isplsi 06E In-System Programmable High Density PLD Features Functional Block Diagram HIGH-DENSITY PROGRAMMABLE LOGIC 2000 PLD Gates 2 I/O Pins, Four Dedicated Inputs

More information

USE isplsi 2064E FOR NEW DESIGNS

USE isplsi 2064E FOR NEW DESIGNS Lead- Free Package Options Available! isplsi 2064/A In-System Programmable High Density PLD Features ENHANCEMENTS isplsi 2064A is Fully Form and Function Compatible to the isplsi 2064, with Identical Timing

More information

USE isplsi 2032E FOR NEW DESIGNS

USE isplsi 2032E FOR NEW DESIGNS Lead- Free Package Options Available! isplsi 2032/A In-System Programmable High Density PLD Features ENHANCEMENTS isplsi 2032A is Fully Form and Function Compatible to the isplsi 2032, with Identical Timing

More information

CoolRunner XPLA3 CPLD

CoolRunner XPLA3 CPLD 0 Coolunner XPLA3 CPLD DS012 (v1.7) June 23, 2003 0 14 Preliminary Product Specification Features Fast Zero Power (FZP) design technique provides ultra-low power and very high speed Innovative XPLA3 architecture

More information

A Research Paper on Designing a TAP(Test Access Port)

A Research Paper on Designing a TAP(Test Access Port) A Research Paper on Designing a TAP(Test Access Port) 1 Mr. VISHWAS K. CHAUDHARY, 2 Mr. MANISH J. PATEL 1, 2 P. G. Students in M.E.(VLSI & ESD) Gujarat Technological University & Seer-Akademi Ahmedabad,

More information

APPLICATION NOTE. Introduction. XC9500XL Architecture. Function Block Interconnect. Function Blocks

APPLICATION NOTE. Introduction. XC9500XL Architecture. Function Block Interconnect. Function Blocks APPLICATION NOTE 1 Designing With XC900XL CPLDs XAPP112 January 22, 1999 (Version 1.1) Application Note Summary This application note will help designers get the best results from XC900XL CPLDs. Included

More information

AGM CPLD AGM CPLD DATASHEET

AGM CPLD AGM CPLD DATASHEET AGM CPLD DATASHEET 1 General Description AGM CPLD family provides low-cost instant-on, non-volatile CPLDs, with densities from 256, 272 to 576 logic LUTs and non-volatile flash storage of 256Kbits. The

More information

All Devices Discontinued!

All Devices Discontinued! isplsi 1048C Device Datasheet September 2010 All Devices Discontinued! Product Change Notificatio (PCNs) have been issued to discontinue all devices in this data sheet. The original datasheet pages have

More information

ispmach 4000V/B/C/Z Family

ispmach 4000V/B/C/Z Family ispmach 4000V/B/C/Z Family Coolest Power 3.3V/2.5V/1.8V In-System Programmable TM SuperFAST High Density PLDs May 2009 Data Sheet DS1020 Features High Performance f MAX = 400MHz maximum operating frequency

More information

Aeroflex Colorado Springs Application Note

Aeroflex Colorado Springs Application Note Synchronous SRAM (SSRAM) JTAG Operation Table : Cross Reference of Applicable Products Product Name: Manufacturer Part Number SMD # Device Type Internal PIC #. Overview 64Mbit Synchronous SRAM UT8SP2M32

More information

Outline. Field Programmable Gate Arrays. Programming Technologies Architectures. Programming Interfaces. Historical perspective

Outline. Field Programmable Gate Arrays. Programming Technologies Architectures. Programming Interfaces. Historical perspective Outline Field Programmable Gate Arrays Historical perspective Programming Technologies Architectures PALs, PLDs,, and CPLDs FPGAs Programmable logic Interconnect network I/O buffers Specialized cores Programming

More information

Programmable Logic. Simple Programmable Logic Devices

Programmable Logic. Simple Programmable Logic Devices Programmable Logic SM098 Computation Structures - Programmable Logic Simple Programmable Logic evices Programmable Array Logic (PAL) AN-OR arrays are common blocks in SPL and CPL architectures Implements

More information

COSC 243. Computer Architecture 1. COSC 243 (Computer Architecture) Lecture 6 - Computer Architecture 1 1

COSC 243. Computer Architecture 1. COSC 243 (Computer Architecture) Lecture 6 - Computer Architecture 1 1 COSC 243 Computer Architecture 1 COSC 243 (Computer Architecture) Lecture 6 - Computer Architecture 1 1 Overview Last Lecture Flip flops This Lecture Computers Next Lecture Instruction sets and addressing

More information

ispgdx2 vs. ispgdx Architecture Comparison

ispgdx2 vs. ispgdx Architecture Comparison isp2 vs. isp July 2002 Technical Note TN1035 Introduction The isp2 is the second generation of Lattice s successful isp platform. Architecture enhancements improve flexibility and integration when implementing

More information

IEEE JTAG Boundary Scan Standard

IEEE JTAG Boundary Scan Standard IEEE 1149.1 JTAG Boundary Scan Standard Bed-of-nails tester Motivation System view of boundary scan hardware Elementary scan cell Test Access Port (TAP) controller Boundary scan instructions Example *Joint

More information

XC95288 In-System Programmable CPLD

XC95288 In-System Programmable CPLD 0 XC95288 In-System Programmable CPLD November 12, 1997 (Version 2.0) 0 3* Preliminary Product Specification Features 15 ns pin-to-pin logic delays on all pins f CNT to 95 MHz 288 macrocells with 6,400

More information

Today. Comments about assignment Max 1/T (skew = 0) Max clock skew? Comments about assignment 3 ASICs and Programmable logic Others courses

Today. Comments about assignment Max 1/T (skew = 0) Max clock skew? Comments about assignment 3 ASICs and Programmable logic Others courses Today Comments about assignment 3-43 Comments about assignment 3 ASICs and Programmable logic Others courses octor Per should show up in the end of the lecture Mealy machines can not be coded in a single

More information

Section I. Cyclone FPGA Family Data Sheet

Section I. Cyclone FPGA Family Data Sheet Section I. Cyclone FPGA Family Data Sheet This section provides designers with the data sheet specifications for Cyclone devices. The chapters contain feature definitions of the internal architecture,

More information

XC95144 In-System Programmable CPLD

XC95144 In-System Programmable CPLD 0 XC95144 In-System Programmable CPLD DS067 (v5.6) April 3, 2006 0 5 Features 7.5 ns pin-to-pin logic delays on all pins f CNT to 111 MHz 144 macrocells with 3,200 usable gates Up to 133 user pins 5V in-system

More information

Chapter 13 Programmable Logic Device Architectures

Chapter 13 Programmable Logic Device Architectures Chapter 13 Programmable Logic Device Architectures Chapter 13 Objectives Selected areas covered in this chapter: Describing different categories of digital system devices. Describing different types of

More information

ORCA Series Boundary Scan

ORCA Series Boundary Scan August 24 Introduction ORCA Series Boundary Scan Application Note AN873 The increasing complexity of integrated circuits and packages has increased the difficulty of testing printed-circuit boards. As

More information

XC95144 In-System Programmable CPLD

XC95144 In-System Programmable CPLD R 0 XC95144 In-System Programmable CPLD 0 5 Product Specification Features 7.5 ns pin-to-pin logic delays on all pins f CNT to 111 MHz 144 macrocells with 3,200 usable gates Up to 133 user pins 5V in-system

More information

ispvm System Software ISP TM Programming Software

ispvm System Software ISP TM Programming Software October 2002 ispvm System Software ISP TM Programming Software Data Sheet Features Serial and Turbo ispdownload of All Lattice ISP Devices Non-Lattice Device Programming Through SVF File Program Entire

More information

XC95288 In-System Programmable CPLD

XC95288 In-System Programmable CPLD 0 XC95288 In-System Programmable CPLD DS069 (v4.1) August 21, 2003 0 5 Product Specification Features 15 ns pin-to-pin logic delays on all pins f CNT to 95 MHz 288 macrocells with 6,400 usable gates Up

More information

Virtex-II Architecture

Virtex-II Architecture Virtex-II Architecture Block SelectRAM resource I/O Blocks (IOBs) edicated multipliers Programmable interconnect Configurable Logic Blocks (CLBs) Virtex -II architecture s core voltage operates at 1.5V

More information

FastFLASH XC9500XL High-Performance CPLD Family

FastFLASH XC9500XL High-Performance CPLD Family k R 0 FastFLASH XC9500XL High-Performance CPLD Family June 7, 1999 (Version 1.5) 0 5* Preliminary Product Specification Features Optimized for high-performance 3.3V systems - 4 ns pin-to-pin logic delays,

More information

System Testability Using Standard Logic

System Testability Using Standard Logic System Testability Using Standard Logic SCTA037A October 1996 Reprinted with permission of IEEE 1 IMPORTANT NOTICE Texas Instruments (TI) reserves the right to make changes to its products or to discontinue

More information

! Program logic functions, interconnect using SRAM. ! Advantages: ! Re-programmable; ! dynamically reconfigurable; ! uses standard processes.

! Program logic functions, interconnect using SRAM. ! Advantages: ! Re-programmable; ! dynamically reconfigurable; ! uses standard processes. Topics! SRAM-based FPGA fabrics:! Xilinx.! Altera. SRAM-based FPGAs! Program logic functions, using SRAM.! Advantages:! Re-programmable;! dynamically reconfigurable;! uses standard processes.! isadvantages:!

More information

SCANSTA111. SCANSTA111 Enhanced SCAN Bridge Multidrop Addressable IEEE (JTAG) Port. Literature Number: SNLS060J

SCANSTA111. SCANSTA111 Enhanced SCAN Bridge Multidrop Addressable IEEE (JTAG) Port. Literature Number: SNLS060J SCANSTA111 Enhanced SCAN Bridge Multidrop Addressable IEEE 1149.1 (JTAG) Port Literature Number: SNLS060J Enhanced SCAN Bridge Multidrop Addressable IEEE 1149.1 (JTAG) Port General Description The SCANSTA111

More information

5 V and 3.3 V ISR High Performance CPLDs

5 V and 3.3 V ISR High Performance CPLDs 5 V and 3.3 V ISR High Performance CPLDs 5 V and 3.3 V ISR High Performance CPLDs Features In-System Reprogrammable (ISR ) CMOS CPLDs JTAG interface for reconfigurability Design changes do not cause pinout

More information

SRAM-based FPGA designed for Space use

SRAM-based FPGA designed for Space use ATF280F Rad-Hard Reprogrammable FPGA DATASHEET Features SRAM-based FPGA designed for Space use 280K equivalent ASIC gates 14,400 cells ( two 3-input LUT or one 4-input LUT, one DFF) Unlimited reprogrammability

More information

Section I. Cyclone II Device Family Data Sheet

Section I. Cyclone II Device Family Data Sheet Section I. Cyclone II Device Family Data Sheet This section provides provides information for board layout designers to successfully layout their boards for Cyclone II devices. It contains the required

More information

SECTION 11 JTAG PORT

SECTION 11 JTAG PORT nc. SECTION JTAG PORT MOTOROLA DSP5662 User s Manual - nc.. INTRODUCTION....................................-3.2 JTAG PINS........................................-5.3 TAP CONTROLLER.................................-6.4

More information

Lecture 7. Standard ICs FPGA (Field Programmable Gate Array) VHDL (Very-high-speed integrated circuits. Hardware Description Language)

Lecture 7. Standard ICs FPGA (Field Programmable Gate Array) VHDL (Very-high-speed integrated circuits. Hardware Description Language) Standard ICs FPGA (Field Programmable Gate Array) VHDL (Very-high-speed integrated circuits Hardware Description Language) 1 Standard ICs PLD: Programmable Logic Device CPLD: Complex PLD FPGA: Field Programmable

More information

SCANSTA112 Designers Reference

SCANSTA112 Designers Reference SCANSTA112 Designers Reference Introduction The SCANSTA112 is the third device in a series that enable multi-drop address and multiplexing of IEEE-1149.1 scan chains. The 'STA112 is a superset of its predecessors

More information

Section I. Cyclone FPGA Family Data Sheet

Section I. Cyclone FPGA Family Data Sheet Section I. Cyclone FPGA Family Data Sheet This section provides designers with the data sheet specifications for Cyclone devices. The chapters contain feature definitions of the internal architecture,

More information

The Xilinx XC6200 chip, the software tools and the board development tools

The Xilinx XC6200 chip, the software tools and the board development tools The Xilinx XC6200 chip, the software tools and the board development tools What is an FPGA? Field Programmable Gate Array Fully programmable alternative to a customized chip Used to implement functions

More information

SCANSTA111 Enhanced SCAN bridge Multidrop Addressable IEEE (JTAG) Port

SCANSTA111 Enhanced SCAN bridge Multidrop Addressable IEEE (JTAG) Port Enhanced SCAN bridge Multidrop Addressable IEEE 1149.1 (JTAG) Port General Description The SCANSTA111 extends the IEEE Std. 1149.1 test bus into a multidrop test bus environment. The advantage of a multidrop

More information

FPGA Implementations

FPGA Implementations FPGA Implementations Smith Text: Chapters 4-8 Online version at: http://www10.edacafe.com/book/asic/asics.php Topic outline Chapter 4 Programmable ASIC technologies Chapter 5 Programmable logic cells Chapter

More information

The Virtex FPGA and Introduction to design techniques

The Virtex FPGA and Introduction to design techniques The Virtex FPGA and Introduction to design techniques SM098 Computation Structures Lecture 6 Simple Programmable Logic evices Programmable Array Logic (PAL) AN-OR arrays are common blocks in SPL and CPL

More information

Implementing LED Drivers in MAX and MAX II Devices. Introduction. Commercial LED Driver Chips

Implementing LED Drivers in MAX and MAX II Devices. Introduction. Commercial LED Driver Chips Implementing LE rivers in MAX and MAX II evices October 2008 AN-286-2.3 Introduction iscrete LE driver chips are common on many system boards. Altera MAX II, MAX 7000B, MAX 7000A, MAX 3000A, and MAX 7000S

More information

XC95144XL High Performance CPLD

XC95144XL High Performance CPLD XC95144XL High Performance CPLD November 13, 1998 (Version 1.2) Features 5 ns pin-to-pin logic delays System frequency up to 178 MHz 144 macrocells with 3,200 usable gates Available in small footprint

More information

1. Internal Architecture of 8085 Microprocessor

1. Internal Architecture of 8085 Microprocessor 1. Internal Architecture of 8085 Microprocessor Control Unit Generates signals within up to carry out the instruction, which has been decoded. In reality causes certain connections between blocks of the

More information

Usable gates 600 1,250 2,500 5,000 10,000 Macrocells Logic array blocks Maximum user I/O

Usable gates 600 1,250 2,500 5,000 10,000 Macrocells Logic array blocks Maximum user I/O MAX 3000A Programmable Logic Device Family June 2006, ver. 3.5 Data Sheet Features... High performance, low cost CMOS EEPROM based programmable logic devices (PLDs) built on a MAX architecture (see Table

More information

This document describes the CoolRunner XPLA3 CPLD architecture. Low Power CPLDs. XPLA E 5v/3.3v 32 M/C 64 M/C 128 M/C

This document describes the CoolRunner XPLA3 CPLD architecture. Low Power CPLDs. XPLA E 5v/3.3v 32 M/C 64 M/C 128 M/C White Paper: Coolunner CPLD WP105 (v1.0) January 6, 2000 Coolunner XPLA3 CPLD Architecture Overview Author: eno Sanchez Summary This document describes the Coolunner XPLA3 CPLD architecture. Introduction

More information

Chapter 2. Cyclone II Architecture

Chapter 2. Cyclone II Architecture Chapter 2. Cyclone II Architecture CII51002-1.0 Functional Description Cyclone II devices contain a two-dimensional row- and column-based architecture to implement custom logic. Column and row interconnects

More information

Section I. Cyclone II Device Family Data Sheet

Section I. Cyclone II Device Family Data Sheet Section I. Cyclone II Device Family Data Sheet This section provides information for board layout designers to successfully layout their boards for Cyclone II devices. It contains the required PCB layout

More information

Boundary Scan. Sungho Kang. Yonsei University

Boundary Scan. Sungho Kang. Yonsei University Boundary Scan Sungho Kang Yonsei University Outiline Introduction TAP Controller Instruction Register Test Data Registers Instructions Hardware Test Innovations PCB Test Conclusion 2 Boundary Scan Improve

More information

BOUNDARY-SCAN DFT & LAYOUT PRINCIPLES at BOARD LEVEL

BOUNDARY-SCAN DFT & LAYOUT PRINCIPLES at BOARD LEVEL BOUNDARY-SCAN DFT & LAYOUT PRINCIPLES at BOARD LEVEL Ian Saunders Ians@jtag.co.uk JTAG TECHNOLOGIES B.V. UK Sales & Support Centre Tel: 01234 831212 Fax: 01234 831616 Design For Test - Component Selection

More information

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function.

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function. FPGA Logic block of an FPGA can be configured in such a way that it can provide functionality as simple as that of transistor or as complex as that of a microprocessor. It can used to implement different

More information

JTAG TAP CONTROLLER PROGRAMMING USING FPGA BOARD

JTAG TAP CONTROLLER PROGRAMMING USING FPGA BOARD JTAG TAP CONTROLLER PROGRAMMING USING FPGA BOARD 1 MOHAMED JEBRAN.P, 2 SHIREEN FATHIMA, 3 JYOTHI M 1,2 Assistant Professor, Department of ECE, HKBKCE, Bangalore-45. 3 Software Engineer, Imspired solutions,

More information

PAL22V10 Family, AmPAL22V10/A

PAL22V10 Family, AmPAL22V10/A FINAL COM L: -7//5 PAL22V Family, AmPAL22V/A 24-Pin TTL Versatile PAL Device Advanced Micro Devices DISTINCTIVE CHARACTERISTICS As fast as 7.5-ns propagation delay and 9 MHz fmax (external) Macrocells

More information

Memory and Programmable Logic

Memory and Programmable Logic Memory and Programmable Logic Memory units allow us to store and/or retrieve information Essentially look-up tables Good for storing data, not for function implementation Programmable logic device (PLD),

More information

Section I. MAX II Device Family Data Sheet

Section I. MAX II Device Family Data Sheet Section I. MAX II Device Family Data Sheet This section provides designers with the data sheet specifications for MAX II devices. The chapters contain feature definitions of the internal architecture,

More information

BUILDING BLOCKS OF A BASIC MICROPROCESSOR. Part 1 PowerPoint Format of Lecture 3 of Book

BUILDING BLOCKS OF A BASIC MICROPROCESSOR. Part 1 PowerPoint Format of Lecture 3 of Book BUILDING BLOCKS OF A BASIC MICROPROCESSOR Part PowerPoint Format of Lecture 3 of Book Decoder Tri-state device Full adder, full subtractor Arithmetic Logic Unit (ALU) Memories Example showing how to write

More information

INTERCONNECT TESTING WITH BOUNDARY SCAN

INTERCONNECT TESTING WITH BOUNDARY SCAN INTERCONNECT TESTING WITH BOUNDARY SCAN Paul Wagner Honeywell, Inc. Solid State Electronics Division 12001 State Highway 55 Plymouth, Minnesota 55441 Abstract Boundary scan is a structured design technique

More information

Stratix. Introduction. Features... Programmable Logic Device Family. Preliminary Information

Stratix. Introduction. Features... Programmable Logic Device Family. Preliminary Information Stratix Programmable Logic Device Family February 2002, ver. 1.0 Data Sheet Introduction Preliminary Information The Stratix family of programmable logic devices (PLDs) is based on a 1.5-V, 0.13-µm, all-layer

More information

ADVANCE INFORMATION MACH4-32/MACH4LV-32

ADVANCE INFORMATION MACH4-32/MACH4LV-32 MACH 4 FAMILY COM L: -7/0//5 IND: -0//4/ MACH4-3/MACH4LV-3 High-Performance EE CMOS Programmable Logic DISTITIVE CHARACTERISTICS 44 pins in PLCC, 44 and 4 pins in TQFP 3 macrocells 7.5 ns t PD Commercial,

More information

Atmel AT94K FPSLIC Architecture Field Programmable Gate Array

Atmel AT94K FPSLIC Architecture Field Programmable Gate Array Embedded Processor Based Built-In Self-Test and Diagnosis of FPGA Core in FPSLIC John Sunwoo (Logic BIST) Srinivas Garimella (RAM BIST) Sudheer Vemula (I/O Cell BIST) Chuck Stroud (Routing BIST) Jonathan

More information

Field Programmable Gate Array (FPGA)

Field Programmable Gate Array (FPGA) Field Programmable Gate Array (FPGA) Lecturer: Krébesz, Tamas 1 FPGA in general Reprogrammable Si chip Invented in 1985 by Ross Freeman (Xilinx inc.) Combines the advantages of ASIC and uc-based systems

More information

P1149.1A Extensions to IEEE-STD

P1149.1A Extensions to IEEE-STD AN-890 Fairchild Semiconductor Application Note February 1994 Revised May 2001 P1149.1A Extensions to IEEE-STD-1149.1-1990 Abstract Since publication of IEEE-1149.1-1990/ANSI 1, 2, 3, extensions and requests

More information

QL ,000 Usable PLD Gate pasic 3 FPGA Combining High Performance and High Density

QL ,000 Usable PLD Gate pasic 3 FPGA Combining High Performance and High Density pasic 3 HIGHLIGHTS 60,000 usable PLD gates, 316 I/O pins QL3060 60,000 Usable PLD Gate pasic 3 FPGA Combining High Performance and High Density April, 1999 High Performance and High Density -60,000 Usable

More information

CHAPTER 5 : Introduction to Intel 8085 Microprocessor Hardware BENG 2223 MICROPROCESSOR TECHNOLOGY

CHAPTER 5 : Introduction to Intel 8085 Microprocessor Hardware BENG 2223 MICROPROCESSOR TECHNOLOGY CHAPTER 5 : Introduction to Intel 8085 Hardware BENG 2223 MICROPROCESSOR TECHNOLOGY The 8085A(commonly known as the 8085) : Was first introduced in March 1976 is an 8-bit microprocessor with 16-bit address

More information

Using isplsi 5000VE Devices in a Hot Swap Environment

Using isplsi 5000VE Devices in a Hot Swap Environment January 2002 Introduction Application Note AN8069 The term hot swap refers to the common practice of either inserting or removing devices or boards to or from a system without a proper power-up sequence

More information

A+3 A+2 A+1 A. The data bus 16-bit mode is shown in the figure below: msb. Figure bit wide data on 16-bit mode data bus

A+3 A+2 A+1 A. The data bus 16-bit mode is shown in the figure below: msb. Figure bit wide data on 16-bit mode data bus 3 BUS INTERFACE The ETRAX 100 bus interface has a 32/16-bit data bus, a 25-bit address bus, and six internally decoded chip select outputs. Six additional chip select outputs are multiplexed with other

More information

BOUNDARY-SCAN: AN INTRODUCTION. by James Stanbridge, Sales Manager of JTAG Technologies

BOUNDARY-SCAN: AN INTRODUCTION. by James Stanbridge, Sales Manager of JTAG Technologies BOUNDARY-SCAN: AN INTRODUCTION by James Stanbridge, Sales Manager of JTAG Technologies Once considered to be something of a black art, and solely an aid to manufacturing, boundary-scan is coming of age

More information

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets.

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Clock Routing Problem Formulation Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Better to develop specialized routers for these nets.

More information

Interfacing RLDRAM II with Stratix II, Stratix,& Stratix GX Devices

Interfacing RLDRAM II with Stratix II, Stratix,& Stratix GX Devices Interfacing RLDRAM II with Stratix II, Stratix,& Stratix GX Devices November 2005, ver. 3.1 Application Note 325 Introduction Reduced latency DRAM II (RLDRAM II) is a DRAM-based point-to-point memory device

More information

PEEL 22CV10A-7/-10/-15/-25 CMOS Programmable Electrically Erasable Logic Device

PEEL 22CV10A-7/-10/-15/-25 CMOS Programmable Electrically Erasable Logic Device Features PEEL 22V10A-7/-10/-15/-25 MOS Programmable Electrically Erasable Logic Device High Speed/Low Power - Speeds ranging from 7ns to 25ns - Power as low as 30mA at 25MHz Electrically Erasable Technology

More information

1.8V MULTI-QUEUE FLOW-CONTROL DEVICES (128 QUEUES) 36 BIT WIDE CONFIGURATION 1,179,648 bits 2,359,296 bits 4,718,592 bits Q127 Q126 Q125

1.8V MULTI-QUEUE FLOW-CONTROL DEVICES (128 QUEUES) 36 BIT WIDE CONFIGURATION 1,179,648 bits 2,359,296 bits 4,718,592 bits Q127 Q126 Q125 1.8V MULTI-QUEUE FLOW-CONTROL DEVICES (128 QUEUES) 36 BIT WIDE CONFIGURATION 1,179,648 bits 2,359,296 bits 4,718,592 bits ADVANCE INFORMATION IDT72P51749 IDT72P51759 IDT72P51769 FEATURES Choose from among

More information

Digital System Design Lecture 7: Altera FPGAs. Amir Masoud Gharehbaghi

Digital System Design Lecture 7: Altera FPGAs. Amir Masoud Gharehbaghi Digital System Design Lecture 7: Altera FPGAs Amir Masoud Gharehbaghi amgh@mehr.sharif.edu Table of Contents Altera FPGAs FLEX 8000 FLEX 10k APEX 20k Sharif University of Technology 2 FLEX 8000 Block Diagram

More information

PRELIMINARY. Channel memory (Kbits)

PRELIMINARY. Channel memory (Kbits) Features High density 30K to 350K usable gates 512 to 5376 macrocells 136 to 520 maximum I/O pins 12 Dedicated Inputs including 4 clock pins, 4 global I/O control signal pins and 4 JTAG interface pins

More information

Section I. Cyclone II Device Family Data Sheet

Section I. Cyclone II Device Family Data Sheet Section I. Cyclone II Device Family Data Sheet This section provides information for board layout designers to successfully layout their boards for Cyclone II devices. It contains the required PCB layout

More information

Spiral 1 / Unit 6. Flip-flops and Registers

Spiral 1 / Unit 6. Flip-flops and Registers 1-5.1 Spiral 1 / Unit 6 Flip-flops and Registers 1-5.2 Outcomes I know the difference between combinational and sequential logic and can name examples of each. I understand latency, throughput, and at

More information

EE 109L Review. Name: Solutions

EE 109L Review. Name: Solutions EE 9L Review Name: Solutions Closed Book / Score:. Short Answer (6 pts.) a. Storing temporary values in (memory / registers) is preferred due to the (increased / decreased) access time. b. True / False:

More information

Stratix. Introduction. Features... 10,570 to 114,140 LEs; see Table 1. FPGA Family. Preliminary Information

Stratix. Introduction. Features... 10,570 to 114,140 LEs; see Table 1. FPGA Family. Preliminary Information Stratix FPGA Family December 2002, ver. 3.0 Data Sheet Introduction Preliminary Information The Stratix TM family of FPGAs is based on a 1.5-V, 0.13-µm, all-layer copper SRAM process, with densities up

More information

CPE/EE 421/521 Fall 2004 Chapter 4 The CPU Hardware Model. Dr. Rhonda Kay Gaede UAH. The CPU Hardware Model - Overview

CPE/EE 421/521 Fall 2004 Chapter 4 The CPU Hardware Model. Dr. Rhonda Kay Gaede UAH. The CPU Hardware Model - Overview CPE/EE 421/521 Fall 2004 Chapter 4 The 68000 CPU Hardware Model Dr. Rhonda Kay Gaede UAH Fall 2004 1 The 68000 CPU Hardware Model - Overview 68000 interface Timing diagram Minimal configuration using the

More information

Computer Science 324 Computer Architecture Mount Holyoke College Fall Topic Notes: Building Memory

Computer Science 324 Computer Architecture Mount Holyoke College Fall Topic Notes: Building Memory Computer Science 324 Computer rchitecture Mount Holyoke College Fall 2007 Topic Notes: Building Memory We ll next look at how we can use the devices we ve been looking at to construct memory. Tristate

More information

Description. Device XC5202 XC5204 XC5206 XC5210 XC5215. Logic Cells ,296 1,936. Max Logic Gates 3,000 6,000 10,000 16,000 23,000

Description. Device XC5202 XC5204 XC5206 XC5210 XC5215. Logic Cells ,296 1,936. Max Logic Gates 3,000 6,000 10,000 16,000 23,000 R XC52 Series Field Programmable Gate Arrays November 5, 1998 (Version 5.2) * Product Specification Features Low-cost, register/latch rich, SRAM based reprogrammable architecture -.5µm three-layer metal

More information