Simulink-Hardware Flow

Size: px
Start display at page:

Download "Simulink-Hardware Flow"

Transcription

1 5/2/22 EE26B: VLSI Signal Processing Simulink-Hardware Flow Prof. Dejan Marković Development Multiple design descriptions Algorithm (MATLAB or C) Fixed point description RTL (behavioral, structural) Test vectors for logic analysis Multiple engineering teams involved Unified MATLAB/Simulink description Path to hardware emulation / FPGA Path to optimized Closed-loop I/O verification 4.2

2 5/2/22 Simulink Design Framework Algorithm/flexibility evaluation Digital delay, area and energy estimates & effect of analog impairments Initial System Description (Floating point MATLAB/Simulink) Determine Flexibility Requirements Description with Hardware Constraints (Fixed point Simulink, FSM Control in Stateflow) Common test vectors, and hardware description of netlist and modules Real-time Emulation (FPGA Array) Automated Generation (Chip-in-a-day Flow) 4.3 Simulink Based Chip Design: Direct Mapping Directly map diagram into hardware since there is a one-for-one relationship for each of the blocks [] S reg Mult Mac X reg Mac2 Add, Sub, Shift Mult2 Result: An architecture that can be implemented rapidly [] W. R. Davis, et al., "A Design Environment for High Throughput, Low Power Dedicated Signal Processing Systems," IEEE J. Solid-State Circuits, vol. 37, no. 3, pp , Mar

3 5/2/22 Simulink Based Optimization and Verification Flow Custom tool : design optimization (WL, architecture) Custom tool 2: I/O components for logic verification Simulink [2] I/O lib Custom tool 2 RTL Hw lib Custom tool Speed Power Area [2] K. Kuusilinna, et al., "Real Time System-on-a-Chip Emulation," in Winning the SoC Revolution, by H. Chang, G. Martin, Kluwer Academic Publishers, 23. FPGA backend backend FPGA implements logic analysis 4.5 Energy-Area-Delay Optimization Energy-Area-Delay space for architecture comparison Time-mux, parallelism, pipelining, V DD scaling, sizing Energy [3] Block-level Datapath Optimal design Area intl, fold Optimal design V DD scaling Delay [3] D. Marković, A Power/Area Optimal Approach to VLSI Signal Processing, Ph.D. Thesis, University of California, Berkeley,

4 5/2/22 Automating the Design Process Improve design productivity Automate architecture generation to obtain multiple architectures for a given algorithm User determines solution for target application Convenient-to-use optimization framework Embedded in MATLAB/Simulink Result in synthesizable RTL form No extra tool to learn Drag-drop, push-button flow Faster turnaround time 4.7 Design Optimization Flow Based on reference E-D curve and system specs, fix degree of Pipelining (R), Time-multiplexing (N) or Parallelism (P) Generate synthesizable architectures/rtl in Simulink [4] Algorithm Simulink lib MATLAB Test vectors activity (α) Simulink Ref.Arch MDL Simulink Arch.Opt RTL Opt.arch Synthesis Final.GDS RTL α N, P, R Tech lib Synthesis Energy, Area, T clk Arch.Opt Parameters System specs Energy-T clk (V DD ) Datapath simulation [4] R. Nanda, DSP Architecture Optimization in MATLAB/Simulink Environment, M.S. Thesis, University of California, Los Angeles,

5 Hardware Cost Reference Direct-mapping Functional Architecture Folding N = 2 Equivalence Architecture 2 Folding N = 4 5/2/22 Simulink & Data-Flow Graphs Algorithm Transformed Design Data-Flow Graph Simulink Architectural Transforms Integer Linear Programming Verification RTL Synthesis Data-Flow Graph Reference Design GUI Interface 4.9 From Simulink to Optimized Hardware Direct mapped DFG Scheduler Architecture Solutions Hardware (Simulink) (C++ / MOSEK) (Simulink/SynDSP) (FPGA/) + D + Initial DFG D D a 2D 2D b + + c d Resulting Simulink/SynDSP Architectures ILP Scheduling & Bellman-Ford Retiming: optimal + reduced CPU time 4. 5

6 5/2/22 Tool Demo (Source Code Available) [5] [5] See the book website for tool download. GUI based demo of filter structures Software tested using MATLAB 27b and SynDSP 3.6 The tool works only for the following Simulink models SynDSP models Single input, single output models Models that use adders and multipliers, no control structures Usage instructions Unzip the the.rar files all into a single directory (e.g. E:\Tool) Start MATLAB Make E:\Tool your home directory The folder E:\Tool\models has all the relevant SynDSP models On the command line type: ArchTran_GUI Check the readme file in E:\Tool\docs for instructions Examples shown in the next few slides 4. Using GUI for Transformation Direct mapped DFG (Simulink/SynDSP model) Use GUI to open Simulink model from drop down menu 2 nd order IIR 4.2 6

7 5/2/22 Data-Flow Graph Extraction Select design components (adds, mults etc.), set pipeline depth Extract model, outputs hardware and connectivity info Extract Model 4.3 Model Extraction Output v 2 v 4 v v 3 v 5 v 7 v 6 v 8 A = e e 2 e 3 e 4 e 5 e 6 e 7 e 8 e 9 e e v v 2 v 3 v 4 v 5 v 6 v 7 v 8 w = [2 2 ] T du = [ ] T Loop bound =

8 5/2/22 Time Multiplexing from GUI Set architecture optimization parameter (e.g. N = 2) Schedule design Time-multiplex option (N = 2) Generate Time-mux arch. N = Transformed Simulink Architecture Automatically generated scheduled architecture pops up Control Muxes Multiplier Coefficients Down-sample by N (=2) Output latched every N cycles Pipelined Multiplier Controller generates select signals for muxes Pipelined Adder 4.6 8

9 5/2/22 Scheduled Model Output Scheduling generated results Transformed architecture in Simulink Schedule table with information on operation execution time Normalized area report Schedule Table A A 2 M M 2 M 3 M 4 Cycle v v 3 v 5 v 6 v 7 v 8 Cycle 2 v 2 v 4 x x x x Scheduled Model Area Report Adders (A i ) : 9 Multipliers (M i ) : 8 Pipeline registers : 383 Registers : 383 Control muxes : Parallel Designs from GUI Set architecture optimization parameter (e.g. P = 2) Parallel design Parallel option (P = 2) Generate Parallel arch. P =

10 5/2/22 Transformed Simulink Architecture Automatically generated scheduled architecture pops up P = 2 parallel Input streams P = 2 parallel Output streams Parallel Adder core Parallel Multiplier core 4.9 Range of Architecture Tuning Parameters Energy V DD scaling Latency max fixed V DD V DD max P, R N V DD * P, R Pipeline: R Parallel: P Time mux: N N Throughput max V DD min [6] T clk [6] R. Nanda, C.-H. Yang, and D. Marković, "DSP Architecture Optimization in MATLAB/Simulink Environment," in Proc. Int. Symp. VLSI Circuits, June 28, pp

11 Area Area 5/2/22 Energy-Area-Performance Map Each point on the surface is an optimal architecture automatically generated in Simulink after modified ILP scheduling and retiming Valid architectures Direct-mapping (reference) Constraints System designer can choose from many feasible (optimal) solutions It is not just about functionality, but how good a solution is, and how many alternatives exist 4.2 An Optimization Result RTL, switching activity Simulink Valid architectures Direct-mapping (reference) Synthesis Time-mux Constraints Gate sizing Retiming.8.6 Carry save Pipelining Parallelism Fine pipe IP cores E-A-P Space Energy-area-performance estimate 4.22

12 5/2/22 Architecture Tuning Result: MDL Lower Area N = 2 Time-multiplex input mux N = 2 multiplier core In N = 2 adder core controller out D D D Reference Out input de-mux 4-way adder core Higher Throughput or Lower Energy P = 4 Parallel 4-way multiplier core output mux 4.23 Pipelining Strategy Library blocks / macros V DD ref Cycle Time Pipeline logic scaling FO4 inv simulation Speed Power Area mult V DD scaling gate sizing T V DD opt [7] T V DD ref add V DD ref Latency Energy [7] D. Marković, B. Nikolić, and R.W. Brodersen, "Power and Area Efficient VLSI Architectures for Communication Signal Processing," in Proc. Int. Conf. on Communications, June 26, vol. 7, pp

13 Energy (pj) [log scale] Energy Efficiency (GOPS/mW) 5/2/22 Optimization Results: 6-tap FIR Filter Design variables: CSA, fine R (f-r), V DD (.32 V to V), pipelining (9 nm CMOS) 395 M 56 M 623 M 35 M 3 M 395 M V DD Ref. no CSA Ref. CSA 66 M Ref. CSA f-r Pip + R Pip + R + f-r M = MS/s Area (μm 2 ) x tap FIR: Architecture Parallelism (Unfolding) Parallelism improves throughput or energy efficiency About x range in efficiency from V DD scaling (.32 V V) (9 nm CMOS).47V.39V.36V.5V 3 Ref. 2 P = 2.7V.5V P = 4.57V V P = 5.73V P = 8.9V P = x 5 Area (μm 2 )

14 5/2/22 Example #2: UWB Digital Baseband Starting point: direct-mapped architecture Optimization focused on the 64-tap GS/s filter 8% of power 4.27 Architecture Exploration: MDL Description Use MATLAB add_line >> add_line('connecting', command for Counter/', block connectivity 'Register/') functional block >> add_line( connecting, Counter/, Register/ ) 4 levels of Parallelism >> add_line('connecting', 'Register/', basic block connectivity 2 levels of Parallelism 6 levels of Parallelism 6 levels of parallelism 4 levels of parallelism 4 levels of Parallelism >> add_line('connecting', 2 levels of 'Constant/', Parallelism 6 levels of

15 Voltage (V) Power (mw) 5/2/22 Minimizing Overall Power and Area V P P2 P4 P8 P V DD >.4 V mm 2.4mm P P2 P4 P6 P8 P8 versus P4 P8 has 5% lower power but also 38% larger area Throughput (GS/s) = 333 MHz (.6 = 2 MHz) Solution: parallel-4 architecture (V DD opt =.43 V) 64-tap filter design: Effective GS/s 2 43 V Parallel-4 filter 4.29 FPGA Based Chip Verification MATLAB Simulink model emulation FPGA board board Real-time hardware verification 4.3 5

16 5/2/22 Hardware Test Bench Support Approach: use Simulink test bench (TB) for verification Develop custom interface blocks (I/O) Place I/O and RTL into TB model [8] + + = I/O Simulink implicitly provides the test bench TB I/O TB Additional requirements from the FPGA test platform As general purpose as possible (large memories, fast I/O) Use embedded CPU to provide high-level interface to FPGA [8] D. Marković et al., " Design and Verification in an FPGA Environment," in Proc. Custom Integrated Circuits Conf., Sep. 27, pp Design Environment: Xilinx System Generator Custom interface blocks Regs, FIFOs, BRAMs GPIO ports Analog subsystems Debugging [9] -click compile [9] C. Chang, Design and Applications of a Reconfigurable Computing System for High Performance Digital Signal Processing, Ph.D. Thesis, University of California, Berkeley,

17 5/2/22 Simulink Test Model -c- -c- ADDR IN OUT WE BRAM_IN in rst Simulink hardware model out ADDR IN OUT WE BRAM_FPGA logic -c- gpio gpio gpio in rst clk board out gpio ADDR IN OUT WE BRAM_ -c- reset sim_rst reg software_reg logic 4.33 Example: SVD Test Model Emulation-based I/O test

18 5/2/22 FPGA Based Test Setup Test bench model on the FPGA board Block read / write operation Custom read_xps, write_xps commands Client PC FPGA board board PC to FPGA interface UART RS232 (slow, limited applicability) Ethernet (with an FPGA operating system support) FPGA- interface GPIO (electrically limited to ~3 Mbps) High-speed ZDOK+ differential-line link (~5 Gbps, f clk limited) 4.35 Low Data-Rate Test Setup board GPIO IBOB FPGA board IBOB: Interconnect Break-Out Board FPGA board features Virtex-II Pro (FPGA, PowerPC45) 2x 8Mb (36b) SRAMs (~25MHz) 2x CX4 Gb high-speed serial 2x Z-DOK+ high-speed differential GPIO (8 diff pairs) 8x LCMOS/LVTTL GPIO PC interface RS232 UART to PPC Custom scripts read_xps/write_xps Client PC RS232 ~kb/s FPGA board GPIO ~3 Mb/s board Limitations: Speed of RS232 (~kb/s) & GPIO interface (~3 MHz)

19 5/2/22 Medium Data-Rate Test Setup IBOB v.3 FPGA board Virtex-II based FPGA board IBOB v.3 [ FPGA- interface ZDOK+ high-speed differential interface Allows testing up to ~25MHz (limited by the FPGA clock) PC interface RS232 UART Client PC RS232 ~Kb/s FPGA board ZDOK+ ~5 Mb/s board Limitations: Speed of RS232 interface (~kb/s) & FPGA BRAM capacity 4.37 High Data-Rate Test Setup ROACH FPGA board ZDOK+ ROACH: Reconfigurable Open Architecture Compute Hardware FPGA board features Virtex 5 FPGA, External PPC44 x DDR2 DIMM 2x 72Mbit (8b) QDR SRAMs (~35MHz) 4x CX4, 2x ZDOK+ (8 diff pairs) External PPC provides much faster interface to FPGA resources (GbE) PC to FPGA interface OS (BORPH) hosted on the FPGA BORPH: Berkeley Operating system for ReProgrammable Hardware Client PC Ethernet BORPH FPGA board ZDOK+ ~5 Mb/s board

20 LVDS I/O 5/2/22 BORPH Operating System [] About BORPH Linux kernel modification for hardware abstraction It runs on embedded CPU connected to FPGA Hardware process Programming an FPGA running Linux executable Some FPGA resources are accessible in Linux process memory space BORPH makes FPGA board look like a Linux workstation It is used on BEE2, ROACH Limited version on IBOB w/ expansion board [] H. So, A. Tkachenko, and R.W. Brodersen, "A Unified Hardware/Software Runtime Environment for FPGA-Based Reconfigurable Computers using BORPH," in Proc. Int. Conf. Hardware/Software Codesign and System Synthesis, 28, pp Example: Multi-Rate Digital Filter Testing Requirements High Tx clock rate (45 MHz target) Beyond practical limits of IBOB s V2P Long test vectors (~4 Mb) Asynchronous clock domains for Tx and Rx Client PC GbE PowerPC ROACH based test setup BORPH FPGA Test Board BRAM Test Board QDR SRAM FPGA 4.4 2

21 5/2/22 Asynchronous Clock Domains Merged separate designs for test vector and readback datapaths XSG has very limited capability for expressing multiple clocks CE toggling to express multiple clocks Further restricted by bee_xps tool automation Assumes single clock design (though many different clocks available) Fixed 6 MHz Rx MHz Tx 4.4 Results and Limitations Results Test up to 35 MHz w/ loadable vectors in QDR; up to 34 MHz with pre-compiled vectors in ROMs 55 db 2 MHz bandwidth Limitations DDR output FF critical 34 MHz (clock out) QDR SRAM bus interface critical 35 MHz Output clock jitter? LVDS receivers usually only 4-5 Mbps OK for data, not good for faster clocks Get LVDS I/O cells?

22 5/2/22 FPGA Based Verification: Summary The trend is towards fully embedding logic analysis on FPGA, including OS support for remote access Simulation Emulation I/O Test I/O TB Simulink Simulink Simulink Pure SW Simulation HDL Simulink Simulink FPGA HIL tools Simulink Simulink ModelSim co-simulation Hardware-in-the-loop simulation FPGA FPGA FPGA Pure FPGA emulation FPGA & FPGA & FPGA FPGA Custom SW FPGA I/O TB Test vectors outside FPGA Test vectors inside FPGA 4.43 Further Extensions Design recommendations Send source-synchronous clock with returned data Send synchronization information with returned data Vector warning or frame start, data valid KATCP: communication protocol interfacing to BORPH Can be implemented over TCP telnet connection Libraries and clients for C, Python KATCP MATLAB client (replaces read_xps, write_xps) Can program FPGA from directly from MATLAB no more JTAG cable! Provides byte-level read/write granularity Increases speed from ~Kb/s to ~Mb/s (Room for improvement; currently high protocol overhead) Towards streaming Transition to TCP/IP-based protocols facilitates streaming Ethernet streaming w/o going through shared memory

23 5/2/22 Summary MATLAB/Simulink is an environment for algorithm modeling and optimized hardware implementation Bit-true cycle-accurate model can be used for functional verification and mapping to FPGA/ hardware The environment is suited for automated architecture exploration using high-level scheduling and retiming Test vectors used in algorithm development can also be used for functional verification of fabricated Enhancements to traditional FPGA-based verification Operating system can be hosted on an FPGA for remote access and software-like execution of hardware processes Test vectors can be hosted on FPGA for real-time data streaming (for data-limited or high-performance applications) 4.45 ILP Models for Scheduling and Retiming 23

24 Integer Linear Programming Bellman-Ford 5/2/22 Basic ILP Model for Scheduling and Retiming Minimize Subject to p c M p V xij u N x ij j w f = N w d + A p + N A r p M p M p : # of PEs of type p Resource constraint Each node is scheduled once Precedence constraints Scheduling Retiming Case : r = (scheduling only, no retiming): sub optimal Case 2: r (scheduling with retiming): exponential run time 4.47 Time-Efficient ILP Model for Scheduling & Retiming Minimize Subject to p c M p V xij u N x ij j Simulink Arch.Opt B ( w + ( A p d ) / N ) p M p M p : # of PEs of type p Resource constraint Each node is scheduled once Each node is scheduled once Loop constraints to ensure feasibility of retiming w f = N w d + A p + N A r A r ( w + ( A p d ) / N ) Precedence constraints Retiming inequalities solved by Bellman-Ford (B-F) Algorithm Feasible CPU runtime (polynomial complexity of B-F algorithm)

25 5/2/22 Example: Wave Digital Filter Area (Norm.) Power (Norm.) Goal: architecture optimization in area-power-performance space..8 scheduling scheduling + retiming Folding Factor..8.6 scheduling.4.2 scheduling + retiming Folding Factor Architecture ILP scheduling: Method : Scheduling Method 2: Scheduling + retiming Method 3: Sched. + Bellman Ford Method 3 (Sch. + B-F retiming): Power & Area optimal Reduced CPU runtime Method 3 yields optimal solution with feasible CPU runtime CPU Runtime (sec) Optimal Suboptimal No solution Method 2 (*) Method 3 Method Folding Factor (*) reported CPU runtime for Method 2 is very optimistic (bounded retiming variables) 4.49 References (/2) W.R. Davis et al., "A Design Environment for High Throughput, Low Power Dedicated Signal Processing Systems," IEEE J. Solid-State Circuits, vol. 37, no. 3, pp , Mar. 22. K. Kuusilinna, et al., "Real Time System-on-a-Chip Emulation," in Winning the SoC Revolution, by H. Chang, G. Martin, Kluwer Academic Publishers, 23. D. Marković, A Power/Area Optimal Approach to VLSI Signal Processing, Ph.D. Thesis, University of California, Berkeley, 26. R. Nanda, DSP Architecture Optimization in Matlab/Simulink Environment, M.S. Thesis, University of California, Los Angeles, 28. R. Nanda, C.-H. Yang, and D. Marković, "DSP Architecture Optimization in Matlab/Simulink Environment," in Proc. Int. Symp. VLSI Circuits, June 28, pp

26 5/2/22 References (2/2) D. Marković, B. Nikolić, and R.W. Brodersen, "Power and Area Efficient VLSI Architectures for Communication Signal Processing," in Proc. Int. Conf. Communications, June 26, vol. 7, pp D. Marković, et al., " Design and Verification in an FPGA Environment," in Proc. Custom Integrated Circuits Conf., Sept. 27, pp C. Chang, Design and Applications of a Reconfigurable Computing System for High Performance Digital Signal Processing, Ph.D. Thesis, University of California, Berkeley, 25. H. So, A. Tkachenko, and R.W. Brodersen, "A Unified Hardware/Software Runtime Environment for FPGA-Based Reconfigurable Computers using BORPH," in Proc. Int. Conf. Hardware/Software Codesign and System Synthesis, 28, pp

Simulink Design Environment

Simulink Design Environment EE219A Spring 2008 Special Topics in Circuits and Signal Processing Lecture 4 Simulink Design Environment Dejan Markovic dejan@ee.ucla.edu Announcements Class wiki Material being constantly updated Please

More information

Wordlength Optimization

Wordlength Optimization EE216B: VLSI Signal Processing Wordlength Optimization Prof. Dejan Marković ee216b@gmail.com Number Systems: Algebraic Algebraic Number e.g. a = + b [1] High level abstraction Infinite precision Often

More information

S2C K7 Prodigy Logic Module Series

S2C K7 Prodigy Logic Module Series S2C K7 Prodigy Logic Module Series Low-Cost Fifth Generation Rapid FPGA-based Prototyping Hardware The S2C K7 Prodigy Logic Module is equipped with one Xilinx Kintex-7 XC7K410T or XC7K325T FPGA device

More information

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info.

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info. A FPGA based development platform as part of an EDK is available to target intelop provided IPs or other standard IPs. The platform with Virtex-4 FX12 Evaluation Kit provides a complete hardware environment

More information

Rapid Design and Analysis of Communication Systems Using the BEE Hardware Emulation Environment

Rapid Design and Analysis of Communication Systems Using the BEE Hardware Emulation Environment Rapid Design and Analysis of Communication Systems Using the BEE Hardware Emulation Environment Chen Chang 1, Kimmo Kuusilinna 2, Brian Richards 1, Allen Chen 1, Nathan Chan 1, Robert W. Brodersen 1 1

More information

Field Programmable Gate Array (FPGA)

Field Programmable Gate Array (FPGA) Field Programmable Gate Array (FPGA) Lecturer: Krébesz, Tamas 1 FPGA in general Reprogrammable Si chip Invented in 1985 by Ross Freeman (Xilinx inc.) Combines the advantages of ASIC and uc-based systems

More information

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Hardware Design Environments Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Outline Welcome to COE 405 Digital System Design Design Domains and Levels of Abstractions Synthesis

More information

Agenda. Introduction FPGA DSP platforms Design challenges New programming models for FPGAs

Agenda. Introduction FPGA DSP platforms Design challenges New programming models for FPGAs New Directions in Programming FPGAs for DSP Dr. Jim Hwang Xilinx, Inc. Agenda Introduction FPGA DSP platforms Design challenges New programming models for FPGAs System Generator Getting your math into

More information

Spiral 2-8. Cell Layout

Spiral 2-8. Cell Layout 2-8.1 Spiral 2-8 Cell Layout 2-8.2 Learning Outcomes I understand how a digital circuit is composed of layers of materials forming transistors and wires I understand how each layer is expressed as geometric

More information

L2: FPGA HARDWARE : ADVANCED DIGITAL DESIGN PROJECT FALL 2015 BRANDON LUCIA

L2: FPGA HARDWARE : ADVANCED DIGITAL DESIGN PROJECT FALL 2015 BRANDON LUCIA L2: FPGA HARDWARE 18-545: ADVANCED DIGITAL DESIGN PROJECT FALL 2015 BRANDON LUCIA 18-545: FALL 2014 2 Admin stuff Project Proposals happen on Monday Be prepared to give an in-class presentation Lab 1 is

More information

INTRODUCTION TO CATAPULT C

INTRODUCTION TO CATAPULT C INTRODUCTION TO CATAPULT C Vijay Madisetti, Mohanned Sinnokrot Georgia Institute of Technology School of Electrical and Computer Engineering with adaptations and updates by: Dongwook Lee, Andreas Gerstlauer

More information

Multi-Gigahertz Parallel FFTs for FPGA and ASIC Implementation

Multi-Gigahertz Parallel FFTs for FPGA and ASIC Implementation Multi-Gigahertz Parallel FFTs for FPGA and ASIC Implementation Doug Johnson, Applications Consultant Chris Eddington, Technical Marketing Synopsys 2013 1 Synopsys, Inc. 700 E. Middlefield Road Mountain

More information

Processor Architectures At A Glance: M.I.T. Raw vs. UC Davis AsAP

Processor Architectures At A Glance: M.I.T. Raw vs. UC Davis AsAP Processor Architectures At A Glance: M.I.T. Raw vs. UC Davis AsAP Presenter: Course: EEC 289Q: Reconfigurable Computing Course Instructor: Professor Soheil Ghiasi Outline Overview of M.I.T. Raw processor

More information

Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany

Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany 2013 The MathWorks, Inc. 1 Agenda Model-Based Design of embedded Systems Software Implementation

More information

Verilog for High Performance

Verilog for High Performance Verilog for High Performance Course Description This course provides all necessary theoretical and practical know-how to write synthesizable HDL code through Verilog standard language. The course goes

More information

Compute Node Design for DAQ and Trigger Subsystem in Giessen. Justus Liebig University in Giessen

Compute Node Design for DAQ and Trigger Subsystem in Giessen. Justus Liebig University in Giessen Compute Node Design for DAQ and Trigger Subsystem in Giessen Justus Liebig University in Giessen Outline Design goals Current work in Giessen Hardware Software Future work Justus Liebig University in Giessen,

More information

FPGA for Software Engineers

FPGA for Software Engineers FPGA for Software Engineers Course Description This course closes the gap between hardware and software engineers by providing the software engineer all the necessary FPGA concepts and terms. The course

More information

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011 FPGA for Complex System Implementation National Chiao Tung University Chun-Jen Tsai 04/14/2011 About FPGA FPGA was invented by Ross Freeman in 1989 SRAM-based FPGA properties Standard parts Allowing multi-level

More information

VHDL for Synthesis. Course Description. Course Duration. Goals

VHDL for Synthesis. Course Description. Course Duration. Goals VHDL for Synthesis Course Description This course provides all necessary theoretical and practical know how to write an efficient synthesizable HDL code through VHDL standard language. The course goes

More information

Accelerating FPGA/ASIC Design and Verification

Accelerating FPGA/ASIC Design and Verification Accelerating FPGA/ASIC Design and Verification Tabrez Khan Senior Application Engineer Vidya Viswanathan Application Engineer 2015 The MathWorks, Inc. 1 Agenda Challeges with Traditional Implementation

More information

ALTERA FPGAs Architecture & Design

ALTERA FPGAs Architecture & Design ALTERA FPGAs Architecture & Design Course Description This course provides all theoretical and practical know-how to design programmable devices of ALTERA with QUARTUS-II design software. The course combines

More information

Basic FPGA Architectures. Actel FPGAs. PLD Technologies: Antifuse. 3 Digital Systems Implementation Programmable Logic Devices

Basic FPGA Architectures. Actel FPGAs. PLD Technologies: Antifuse. 3 Digital Systems Implementation Programmable Logic Devices 3 Digital Systems Implementation Programmable Logic Devices Basic FPGA Architectures Why Programmable Logic Devices (PLDs)? Low cost, low risk way of implementing digital circuits as application specific

More information

ECE 747 Digital Signal Processing Architecture. DSP Implementation Architectures

ECE 747 Digital Signal Processing Architecture. DSP Implementation Architectures ECE 747 Digital Signal Processing Architecture DSP Implementation Architectures Spring 2006 W. Rhett Davis NC State University W. Rhett Davis NC State University ECE 406 Spring 2006 Slide 1 My Goal Challenge

More information

FPGA Implementation and Validation of the Asynchronous Array of simple Processors

FPGA Implementation and Validation of the Asynchronous Array of simple Processors FPGA Implementation and Validation of the Asynchronous Array of simple Processors Jeremy W. Webb VLSI Computation Laboratory Department of ECE University of California, Davis One Shields Avenue Davis,

More information

Building and Programming complete MPSoCs in reconfigurable systems. Kees Vissers MPsoC 2007

Building and Programming complete MPSoCs in reconfigurable systems. Kees Vissers MPsoC 2007 Building and Programming complete MPSoCs in reconfigurable systems Kees Vissers MPSoC 2007 Outline Control Processors and Operating Systems in FPGAs XUP, HDTV processing UC Berkeley Bee2 and programming

More information

FPGA APPLICATIONS FOR SINGLE DISH ACTIVITY AT MEDICINA RADIOTELESCOPES

FPGA APPLICATIONS FOR SINGLE DISH ACTIVITY AT MEDICINA RADIOTELESCOPES MARCO BARTOLINI - BARTOLINI@IRA.INAF.IT TORINO 18 MAY 2016 WORKSHOP: FPGA APPLICATION IN ASTROPHYSICS FPGA APPLICATIONS FOR SINGLE DISH ACTIVITY AT MEDICINA RADIOTELESCOPES TORINO, 18 MAY 2016, INAF FPGA

More information

Universal Serial Bus Host Interface on an FPGA

Universal Serial Bus Host Interface on an FPGA Universal Serial Bus Host Interface on an FPGA Application Note For many years, designers have yearned for a general-purpose, high-performance serial communication protocol. The RS-232 and its derivatives

More information

Tutorial. CASPER Reference Design

Tutorial. CASPER Reference Design Tutorial Author: Henry Chen December 18, 2009 (v1.1) Hardware Platforms Used: IBOB FPGA Clock Rate: 100MHz Sampling Rate: N/A Software Environment: TinySH This tutorial walks through the process of building

More information

Field Programmable Gate Array (FPGA) Devices

Field Programmable Gate Array (FPGA) Devices Field Programmable Gate Array (FPGA) Devices 1 Contents Altera FPGAs and CPLDs CPLDs FPGAs with embedded processors ACEX FPGAs Cyclone I,II FPGAs APEX FPGAs Stratix FPGAs Stratix II,III FPGAs Xilinx FPGAs

More information

VLSI Programming 2016: Lecture 3

VLSI Programming 2016: Lecture 3 VLSI Programming 2016: Lecture 3 Course: 2IMN35 Teachers: Kees van Berkel c.h.v.berkel@tue.nl Rudolf Mak r.h.mak@tue.nl Lab: Kees van Berkel, Rudolf Mak, Alok Lele www: http://www.win.tue.nl/~wsinmak/education/2imn35/

More information

SECURE PARTIAL RECONFIGURATION OF FPGAs. Amir S. Zeineddini Kris Gaj

SECURE PARTIAL RECONFIGURATION OF FPGAs. Amir S. Zeineddini Kris Gaj SECURE PARTIAL RECONFIGURATION OF FPGAs Amir S. Zeineddini Kris Gaj Outline FPGAs Security Our scheme Implementation approach Experimental results Conclusions FPGAs SECURITY SRAM FPGA Security Designer/Vendor

More information

COE 561 Digital System Design & Synthesis Introduction

COE 561 Digital System Design & Synthesis Introduction 1 COE 561 Digital System Design & Synthesis Introduction Dr. Aiman H. El-Maleh Computer Engineering Department King Fahd University of Petroleum & Minerals Outline Course Topics Microelectronics Design

More information

Lecture 41: Introduction to Reconfigurable Computing

Lecture 41: Introduction to Reconfigurable Computing inst.eecs.berkeley.edu/~cs61c CS61C : Machine Structures Lecture 41: Introduction to Reconfigurable Computing Michael Le, Sp07 Head TA April 30, 2007 Slides Courtesy of Hayden So, Sp06 CS61c Head TA Following

More information

Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 3rd year engineering. Winter/Summer Training

Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 3rd year engineering. Winter/Summer Training Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 3rd year engineering Winter/Summer Training Level 2 continues. 3 rd Year 4 th Year FIG-3 Level 1 (Basic & Mandatory) & Level 1.1 and

More information

A Methodology for Energy Efficient FPGA Designs Using Malleable Algorithms

A Methodology for Energy Efficient FPGA Designs Using Malleable Algorithms A Methodology for Energy Efficient FPGA Designs Using Malleable Algorithms Jingzhao Ou and Viktor K. Prasanna Department of Electrical Engineering, University of Southern California Los Angeles, California,

More information

An Introduction to BORPH. Hayden Kwok-Hay So University of Hong Kong Aug 2, 2008 CASPER Workshop II

An Introduction to BORPH. Hayden Kwok-Hay So University of Hong Kong Aug 2, 2008 CASPER Workshop II An Introduction to BORPH Hayden Kwok-Hay So University of Hong Kong Aug 2, 2008 CASPER Workshop II Language Design Environment Applications OS System Integration Hardware System Integration Software Language

More information

A SIMULINK-TO-FPGA MULTI-RATE HIERARCHICAL FIR FILTER DESIGN

A SIMULINK-TO-FPGA MULTI-RATE HIERARCHICAL FIR FILTER DESIGN A SIMULINK-TO-FPGA MULTI-RATE HIERARCHICAL FIR FILTER DESIGN Xiaoying Li 1 Fuming Sun 2 Enhua Wu 1, 3 1 University of Macau, Macao, China 2 University of Science and Technology Beijing, Beijing, China

More information

A 50Mvertices/s Graphics Processor with Fixed-Point Programmable Vertex Shader for Mobile Applications

A 50Mvertices/s Graphics Processor with Fixed-Point Programmable Vertex Shader for Mobile Applications A 50Mvertices/s Graphics Processor with Fixed-Point Programmable Vertex Shader for Mobile Applications Ju-Ho Sohn, Jeong-Ho Woo, Min-Wuk Lee, Hye-Jung Kim, Ramchan Woo, Hoi-Jun Yoo Semiconductor System

More information

EE 8217 *Reconfigurable Computing Systems Engineering* Sample of Final Examination

EE 8217 *Reconfigurable Computing Systems Engineering* Sample of Final Examination 1 Student name: Date: June 26, 2008 General requirements for the exam: 1. This is CLOSED BOOK examination; 2. No questions allowed within the examination period; 3. If something is not clear in question

More information

In-chip and Inter-chip Interconnections and data transportations for Future MPAR Digital Receiving System

In-chip and Inter-chip Interconnections and data transportations for Future MPAR Digital Receiving System In-chip and Inter-chip Interconnections and data transportations for Future MPAR Digital Receiving System A presentation for LMCO-MPAR project 2007 briefing Dr. Yan Zhang School of Electrical and Computer

More information

ISim Hardware Co-Simulation Tutorial: Accelerating Floating Point Fast Fourier Transform Simulation

ISim Hardware Co-Simulation Tutorial: Accelerating Floating Point Fast Fourier Transform Simulation ISim Hardware Co-Simulation Tutorial: Accelerating Floating Point Fast Fourier Transform Simulation UG817 (v 13.2) July 28, 2011 Xilinx is disclosing this user guide, manual, release note, and/or specification

More information

High Performance Embedded Applications. Raja Pillai Applications Engineering Specialist

High Performance Embedded Applications. Raja Pillai Applications Engineering Specialist High Performance Embedded Applications Raja Pillai Applications Engineering Specialist Agenda What is High Performance Embedded? NI s History in HPE FlexRIO Overview System architecture Adapter modules

More information

System-on-Chip Design for Wireless Communications

System-on-Chip Design for Wireless Communications System-on-Chip Design for Wireless Communications Stamenkovic, Zoran Frankfurt (Oder), Germany, February 9-10, 2016 DFG-Workshop on Advanced Wireless Sensor Networks Agenda 1 Wireless Systems (Hardware/Software

More information

FT-UNSHADES credits. UNiversity of Sevilla HArdware DEbugging System.

FT-UNSHADES credits. UNiversity of Sevilla HArdware DEbugging System. FT-UNSHADES Microelectronic Presentation Day February, 4th, 2004 J. Tombs & M.A. Aguirre jon@gte.esi.us.es, aguirre@gte.esi.us.es AICIA-GTE of The University of Sevilla (SPAIN) FT-UNSHADES credits UNiversity

More information

Lecture 7: Introduction to Co-synthesis Algorithms

Lecture 7: Introduction to Co-synthesis Algorithms Design & Co-design of Embedded Systems Lecture 7: Introduction to Co-synthesis Algorithms Sharif University of Technology Computer Engineering Dept. Winter-Spring 2008 Mehdi Modarressi Topics for today

More information

ERCBench An Open-Source Benchmark Suite for Embedded and Reconfigurable Computing

ERCBench An Open-Source Benchmark Suite for Embedded and Reconfigurable Computing ERCBench An Open-Source Benchmark Suite for Embedded and Reconfigurable Computing Daniel Chang Chris Jenkins, Philip Garcia, Syed Gilani, Paula Aguilera, Aishwarya Nagarajan, Michael Anderson, Matthew

More information

Jason Manley. Internal presentation: Operation overview and drill-down October 2007

Jason Manley. Internal presentation: Operation overview and drill-down October 2007 Jason Manley Internal presentation: Operation overview and drill-down October 2007 System overview Achievements to date ibob F Engine in detail BEE2 X Engine in detail Backend System in detail Future developments

More information

ECE 485/585 Microprocessor System Design

ECE 485/585 Microprocessor System Design Microprocessor System Design Lecture 4: Memory Hierarchy Memory Taxonomy SRAM Basics Memory Organization DRAM Basics Zeshan Chishti Electrical and Computer Engineering Dept Maseeh College of Engineering

More information

RiceNIC. Prototyping Network Interfaces. Jeffrey Shafer Scott Rixner

RiceNIC. Prototyping Network Interfaces. Jeffrey Shafer Scott Rixner RiceNIC Prototyping Network Interfaces Jeffrey Shafer Scott Rixner RiceNIC Overview Gigabit Ethernet Network Interface Card RiceNIC - Prototyping Network Interfaces 2 RiceNIC Overview Reconfigurable and

More information

Advanced FPGA Design. Jan Pospíšil, CERN BE-BI-BP ISOTDAQ 2018, Vienna

Advanced FPGA Design. Jan Pospíšil, CERN BE-BI-BP ISOTDAQ 2018, Vienna Advanced FPGA Design Jan Pospíšil, CERN BE-BI-BP j.pospisil@cern.ch ISOTDAQ 2018, Vienna Acknowledgement Manoel Barros Marin (CERN) lecturer of ISOTDAQ-17 Markus Joos (CERN) & other organisers of ISOTDAQ-18

More information

Kintex-7: Hardware Co-simulation and Design Using Simulink and Sysgen

Kintex-7: Hardware Co-simulation and Design Using Simulink and Sysgen Kintex-7: Hardware Co-simulation and Design Using Simulink and Sysgen Version 1.2 April 19, 2013 Revision History Version Date Author Comments Version Date Author(s) Comments on Versions No Completed 1.0

More information

On the Design of High Speed Parallel CRC Circuits using DSP Algorithams

On the Design of High Speed Parallel CRC Circuits using DSP Algorithams On the Design of High Speed Parallel CRC Circuits using DSP Algorithams 1 B.Naresh Reddy, 2 B.Kiran Kumar, 3 K.Mohini sirisha 1 Dept.of ECE,Kodada institute of Technology & Science for women,kodada,india

More information

ESE532: System-on-a-Chip Architecture. Today. Message. Graph Cycles. Preclass 1. Reminder

ESE532: System-on-a-Chip Architecture. Today. Message. Graph Cycles. Preclass 1. Reminder ESE532: System-on-a-Chip Architecture Day 8: September 26, 2018 Spatial Computations Today Graph Cycles (from Day 7) Accelerator Pipelines FPGAs Zynq Computational Capacity 1 2 Message Custom accelerators

More information

VXS-621 FPGA & PowerPC VXS Multiprocessor

VXS-621 FPGA & PowerPC VXS Multiprocessor VXS-621 FPGA & PowerPC VXS Multiprocessor Xilinx Virtex -5 FPGA for high performance processing On-board PowerPC CPU for standalone operation, communications management and user applications Two PMC/XMC

More information

BittWare s XUPP3R is a 3/4-length PCIe x16 card based on the

BittWare s XUPP3R is a 3/4-length PCIe x16 card based on the FPGA PLATFORMS Board Platforms Custom Solutions Technology Partners Integrated Platforms XUPP3R Xilinx UltraScale+ 3/4-Length PCIe Board with Quad QSFP and 512 GBytes DDR4 Xilinx Virtex UltraScale+ VU7P/VU9P/VU11P

More information

Overview. CSE372 Digital Systems Organization and Design Lab. Hardware CAD. Two Types of Chips

Overview. CSE372 Digital Systems Organization and Design Lab. Hardware CAD. Two Types of Chips Overview CSE372 Digital Systems Organization and Design Lab Prof. Milo Martin Unit 5: Hardware Synthesis CAD (Computer Aided Design) Use computers to design computers Virtuous cycle Architectural-level,

More information

REAL-TIME SYSTEM-ON-A-CHIP EMULATION Emulation Driven System Design with Direct Mapped Virtual Components

REAL-TIME SYSTEM-ON-A-CHIP EMULATION Emulation Driven System Design with Direct Mapped Virtual Components Chapter 11 REAL-TIME SYSTEM-ON-A-CHIP EMULATION Emulation Driven System Design with Direct Mapped Virtual Components Kimmo Kuusilinna 1,2, Chen Chang 1, Hans-Martin Bluethgen 3, W. Rhett Davis 4, Brian

More information

UCT Software-Defined Radio Research Group

UCT Software-Defined Radio Research Group UCT Software-Defined Radio Research Group UCT SDRRG Team UCT Faculty: Alan Langman Mike Inggs Simon Winberg PhD Students: Brandon Hamilton MSc Students: Bruce Raw Gordon Inggs Simon Scott Joseph Wamicha

More information

FPGA 101. Field programmable gate arrays in action

FPGA 101. Field programmable gate arrays in action FPGA 101 Field programmable gate arrays in action About me Karsten Becker Head of electronics @Part-Time Scientists PhD candidate @TUHH FPGA Architecture 2 What is an FPGA Programmable Logic Programmable

More information

ECE 747 Digital Signal Processing Architecture. ESL Design Methodologies

ECE 747 Digital Signal Processing Architecture. ESL Design Methodologies ECE 747 Digital Signal Processing Architecture ESL Design Methodologies Spring 2006 W. Rhett Davis NC State University W. Rhett Davis NC State University ECE 747 Spring 2006 Slide 1 What is ESL Design?

More information

Virtex-II Architecture. Virtex II technical, Design Solutions. Active Interconnect Technology (continued)

Virtex-II Architecture. Virtex II technical, Design Solutions. Active Interconnect Technology (continued) Virtex-II Architecture SONET / SDH Virtex II technical, Design Solutions PCI-X PCI DCM Distri RAM 18Kb BRAM Multiplier LVDS FIFO Shift Registers BLVDS SDRAM QDR SRAM Backplane Rev 4 March 4th. 2002 J-L

More information

NS115 System Emulation Based on Cadence Palladium XP

NS115 System Emulation Based on Cadence Palladium XP NS115 System Emulation Based on Cadence Palladium XP wangpeng 新岸线 NUFRONT Agenda Background and Challenges Porting ASIC to Palladium XP Software Environment Co Verification and Power Analysis Summary Background

More information

Resource Efficiency of Scalable Processor Architectures for SDR-based Applications

Resource Efficiency of Scalable Processor Architectures for SDR-based Applications Resource Efficiency of Scalable Processor Architectures for SDR-based Applications Thorsten Jungeblut 1, Johannes Ax 2, Gregor Sievers 2, Boris Hübener 2, Mario Porrmann 2, Ulrich Rückert 1 1 Cognitive

More information

Architecture Techniques

Architecture Techniques EE29A Spring 2008 Special Topics in Circuits and Signal Processing Lecture 3 Architecture Techniques Dejan Markovic dejan@ee.ucla.edu Announcements Class wiki up and running Go to: EEWeb / Online Lab Please

More information

Tutorial - Using Xilinx System Generator 14.6 for Co-Simulation on Digilent NEXYS3 (Spartan-6) Board

Tutorial - Using Xilinx System Generator 14.6 for Co-Simulation on Digilent NEXYS3 (Spartan-6) Board Tutorial - Using Xilinx System Generator 14.6 for Co-Simulation on Digilent NEXYS3 (Spartan-6) Board Shawki Areibi August 15, 2017 1 Introduction Xilinx System Generator provides a set of Simulink blocks

More information

A software platform to support dynamically reconfigurable Systems-on-Chip under the GNU/Linux operating system

A software platform to support dynamically reconfigurable Systems-on-Chip under the GNU/Linux operating system A software platform to support dynamically reconfigurable Systems-on-Chip under the GNU/Linux operating system 26th July 2005 Alberto Donato donato@elet.polimi.it Relatore: Prof. Fabrizio Ferrandi Correlatore:

More information

FPGA architecture and design technology

FPGA architecture and design technology CE 435 Embedded Systems Spring 2017 FPGA architecture and design technology Nikos Bellas Computer and Communications Engineering Department University of Thessaly 1 FPGA fabric A generic island-style FPGA

More information

FPGA Polyphase Filter Bank Study & Implementation

FPGA Polyphase Filter Bank Study & Implementation FPGA Polyphase Filter Bank Study & Implementation Raghu Rao Matthieu Tisserand Mike Severa Prof. John Villasenor Image Communications/. Electrical Engineering Dept. UCLA 1 Introduction This document describes

More information

EITF35: Introduction to Structured VLSI Design

EITF35: Introduction to Structured VLSI Design EITF35: Introduction to Structured VLSI Design Introduction to FPGA design Rakesh Gangarajaiah Rakesh.gangarajaiah@eit.lth.se Slides from Chenxin Zhang and Steffan Malkowsky WWW.FPGA What is FPGA? Field

More information

IMPLICIT+EXPLICIT Architecture

IMPLICIT+EXPLICIT Architecture IMPLICIT+EXPLICIT Architecture Fortran Carte Programming Environment C Implicitly Controlled Device Dense logic device Typically fixed logic µp, DSP, ASIC, etc. Implicit Device Explicit Device Explicitly

More information

FPGA VHDL Design Flow AES128 Implementation

FPGA VHDL Design Flow AES128 Implementation Sakinder Ali FPGA VHDL Design Flow AES128 Implementation Field Programmable Gate Array Basic idea: two-dimensional array of logic blocks and flip-flops with a means for the user to configure: 1. The interconnection

More information

This knowledge was gained partially through trial and error, but largely thanks to the help of the folks at CASPER.

This knowledge was gained partially through trial and error, but largely thanks to the help of the folks at CASPER. CICADA Note #6 CASPER Tool-flow Development Notes Brandon Rumberg, Patrick Brandt NRAO Green Bank 8/9/07 This document is meant to compile some of the things about using the CASPER tool-flow that we didn't

More information

SP3Q.3. What makes it a good idea to put CRC computation and error-correcting code computation into custom hardware?

SP3Q.3. What makes it a good idea to put CRC computation and error-correcting code computation into custom hardware? Part II CST: SoC D/M: Quick exercises S3-S4 (examples sheet) Feb 2018 (rev a). This sheet contains short exercises for quick revision. Please also look at past exam questions and/or try some of the longer

More information

Design of Adder Tree Based Sparse Matrix- Vector Multiplier

Design of Adder Tree Based Sparse Matrix- Vector Multiplier UNIVERSITY OF CALIFORNIA, LOS ANGELES Design of Adder Tree Based Sparse Matrix- Vector Multiplier TIYASA MITRA (SID: 504-362-405) 12/02/2014 Table of Contents Table of Contents... 2 1 Introduction... 3

More information

PowerPC on NetFPGA CSE 237B. Erik Rubow

PowerPC on NetFPGA CSE 237B. Erik Rubow PowerPC on NetFPGA CSE 237B Erik Rubow NetFPGA PCI card + FPGA + 4 GbE ports FPGA (Virtex II Pro) has 2 PowerPC hard cores Untapped resource within NetFPGA community Goals Evaluate performance of on chip

More information

An Overview of Standard Cell Based Digital VLSI Design

An Overview of Standard Cell Based Digital VLSI Design An Overview of Standard Cell Based Digital VLSI Design With examples taken from the implementation of the 36-core AsAP1 chip and the 1000-core KiloCore chip Zhiyi Yu, Tinoosh Mohsenin, Aaron Stillmaker,

More information

Field Program mable Gate Arrays

Field Program mable Gate Arrays Field Program mable Gate Arrays M andakini Patil E H E P g r o u p D H E P T I F R SERC school NISER, Bhubaneshwar Nov 7-27 2017 Outline Digital electronics Short history of programmable logic devices

More information

Basic FPGA Architecture Xilinx, Inc. All Rights Reserved

Basic FPGA Architecture Xilinx, Inc. All Rights Reserved Basic FPGA Architecture 2005 Xilinx, Inc. All Rights Reserved Objectives After completing this module, you will be able to: Identify the basic architectural resources of the Virtex -II FPGA List the differences

More information

Basic Xilinx Design Capture. Objectives. After completing this module, you will be able to:

Basic Xilinx Design Capture. Objectives. After completing this module, you will be able to: Basic Xilinx Design Capture This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: List various blocksets available in System

More information

Outline of Presentation Field Programmable Gate Arrays (FPGAs(

Outline of Presentation Field Programmable Gate Arrays (FPGAs( FPGA Architectures and Operation for Tolerating SEUs Chuck Stroud Electrical and Computer Engineering Auburn University Outline of Presentation Field Programmable Gate Arrays (FPGAs( FPGAs) How Programmable

More information

High-Performance Memory Interfaces Made Easy

High-Performance Memory Interfaces Made Easy High-Performance Memory Interfaces Made Easy Xilinx 90nm Design Seminar Series: Part IV Xilinx - #1 in 90 nm We Asked Our Customers: What are your challenges? Shorter design time, faster obsolescence More

More information

Yet Another Implementation of CoRAM Memory

Yet Another Implementation of CoRAM Memory Dec 7, 2013 CARL2013@Davis, CA Py Yet Another Implementation of Memory Architecture for Modern FPGA-based Computing Shinya Takamaeda-Yamazaki, Kenji Kise, James C. Hoe * Tokyo Institute of Technology JSPS

More information

Integrated Workflow to Implement Embedded Software and FPGA Designs on the Xilinx Zynq Platform Puneet Kumar Senior Team Lead - SPC

Integrated Workflow to Implement Embedded Software and FPGA Designs on the Xilinx Zynq Platform Puneet Kumar Senior Team Lead - SPC Integrated Workflow to Implement Embedded Software and FPGA Designs on the Xilinx Zynq Platform Puneet Kumar Senior Team Lead - SPC 2012 The MathWorks, Inc. 1 Agenda Integrated Hardware / Software Top

More information

Design and Verification of FPGA Applications

Design and Verification of FPGA Applications Design and Verification of FPGA Applications Giuseppe Ridinò Paola Vallauri MathWorks giuseppe.ridino@mathworks.it paola.vallauri@mathworks.it Torino, 19 Maggio 2016, INAF 2016 The MathWorks, Inc. 1 Agenda

More information

Adapter Modules for FlexRIO

Adapter Modules for FlexRIO Adapter Modules for FlexRIO Ravichandran Raghavan Technical Marketing Engineer National Instruments FlexRIO LabVIEW FPGA-Enabled Instrumentation 2 NI FlexRIO System Architecture PXI/PXIe NI FlexRIO Adapter

More information

Design and Verification of FPGA and ASIC Applications Graham Reith MathWorks

Design and Verification of FPGA and ASIC Applications Graham Reith MathWorks Design and Verification of FPGA and ASIC Applications Graham Reith MathWorks 2014 The MathWorks, Inc. 1 Agenda -Based Design for FPGA and ASIC Generating HDL Code from MATLAB and Simulink For prototyping

More information

Synthesis of DSP Systems using Data Flow Graphs for Silicon Area Reduction

Synthesis of DSP Systems using Data Flow Graphs for Silicon Area Reduction Synthesis of DSP Systems using Data Flow Graphs for Silicon Area Reduction Rakhi S 1, PremanandaB.S 2, Mihir Narayan Mohanty 3 1 Atria Institute of Technology, 2 East Point College of Engineering &Technology,

More information

System-on Solution from Altera and Xilinx

System-on Solution from Altera and Xilinx System-on on-a-programmable-chip Solution from Altera and Xilinx Xun Yang VLSI CAD Lab, Computer Science Department, UCLA FPGAs with Embedded Microprocessors Combination of embedded processors and programmable

More information

FlexRIO. FPGAs Bringing Custom Functionality to Instruments. Ravichandran Raghavan Technical Marketing Engineer. ni.com

FlexRIO. FPGAs Bringing Custom Functionality to Instruments. Ravichandran Raghavan Technical Marketing Engineer. ni.com FlexRIO FPGAs Bringing Custom Functionality to Instruments Ravichandran Raghavan Technical Marketing Engineer Electrical Test Today Acquire, Transfer, Post-Process Paradigm Fixed- Functionality Triggers

More information

VLSI. Institute for Applied Information Processing and Communications VLSI Group. VLSI Design. KU Sommersemester 2007 RSA-2048 Implementation

VLSI. Institute for Applied Information Processing and Communications VLSI Group. VLSI Design. KU Sommersemester 2007 RSA-2048 Implementation VLSI Design KU Sommersemester 2007 RSA-2048 Implementation 1 Motivation RSA: asymmetric cryptography Signature generation Based on modular exponentiation Integer factorization as underlying hard problem

More information

Design Methodologies

Design Methodologies Design Methodologies 1981 1983 1985 1987 1989 1991 1993 1995 1997 1999 2001 2003 2005 2007 2009 Complexity Productivity (K) Trans./Staff - Mo. Productivity Trends Logic Transistor per Chip (M) 10,000 0.1

More information

FPGA Solutions: Modular Architecture for Peak Performance

FPGA Solutions: Modular Architecture for Peak Performance FPGA Solutions: Modular Architecture for Peak Performance Real Time & Embedded Computing Conference Houston, TX June 17, 2004 Andy Reddig President & CTO andyr@tekmicro.com Agenda Company Overview FPGA

More information

Digital Systems Design. System on a Programmable Chip

Digital Systems Design. System on a Programmable Chip Digital Systems Design Introduction to System on a Programmable Chip Dr. D. J. Jackson Lecture 11-1 System on a Programmable Chip Generally involves utilization of a large FPGA Large number of logic elements

More information

Floating-Point to Field-Tests: A Unified Development Environment for Algorithm Research

Floating-Point to Field-Tests: A Unified Development Environment for Algorithm Research Floating-Point to Field-Tests: A Unified Development Environment for Algorithm Research Jared Dulmage Dr. Michael P. Fitz University of California, Los Angeles Annual Research Review 1/13/2008 Traditional

More information

Real-Time Testing in a Modern, Agile Development Workflow

Real-Time Testing in a Modern, Agile Development Workflow Real-Time Testing in a Modern, Agile Development Workflow Simon Eriksson Application Engineer 2015 The MathWorks, Inc. 1 Demo Going from Desktop Testing to Real-Time Testing 2 Key Take-Aways From This

More information

: : (91-44) (Office) (91-44) (Residence)

:  : (91-44) (Office) (91-44) (Residence) Course: VLSI Circuits (Video Course) Faculty Coordinator(s) : Prof. S. Srinivasan Department of Electrical Engineering Indian Institute of Technology Madras Chennai 600036 Email Telephone : srinis@iitm.ac.in,

More information

Hardware Demonstration Design

Hardware Demonstration Design Hardware Demonstration Design JESD204 Hardware Demonstration User Guide 1 A hardware demonstration design, targeting the Kintex-7 KC705, Zynq-7000 ZC706, Virtex-7 VC709 or Artix-7 AC701 evaluation platforms,

More information

An Overview of a Compiler for Mapping MATLAB Programs onto FPGAs

An Overview of a Compiler for Mapping MATLAB Programs onto FPGAs An Overview of a Compiler for Mapping MATLAB Programs onto FPGAs P. Banerjee Department of Electrical and Computer Engineering Northwestern University 2145 Sheridan Road, Evanston, IL-60208 banerjee@ece.northwestern.edu

More information

Vivado HLx Design Entry. June 2016

Vivado HLx Design Entry. June 2016 Vivado HLx Design Entry June 2016 Agenda What is the HLx Design Methodology? New & Early Access features for Connectivity Platforms Creating Differentiated Logic 2 What is the HLx Design Methodology? Page

More information

Programmable Logic. Any other approaches?

Programmable Logic. Any other approaches? Programmable Logic So far, have only talked about PALs (see 22V10 figure next page). What is the next step in the evolution of PLDs? More gates! How do we get more gates? We could put several PALs on one

More information