A 622/155 Mbps ATM Line Terminator Mono-chip

Size: px
Start display at page:

Download "A 622/155 Mbps ATM Line Terminator Mono-chip"

Transcription

1 A 622/155 Mbps ATM Line Terminator Mono-chip M. DIAZ NAVA, D. BELOT, P. DELERUE, J. BULONE SGS-THOMSON, 850, Rue Jean Monnet BP Crolles, France ABSTRACT The ATM Line Terminator Mono-chip (LTM) provides a highly integrated and cost effective implementation of the Physical layer of the ATM network for cell-based interface. The LTM was designed in a BiCMOS process allowing the merge of CMOS data processing together with the high speed transceiver functions requested by ITU-T bit rates of and Mbps. Internal design for testability provides easy testing at device and system levels. KEYWORDS: ATM, Physical Layer, TC, PMD, Line terminator, cell header, HEC, scrambling, cell delineation, OAM, ITU, DFT, Bit error rate. 1: INTRODUCTION The Line Terminator Mono-chip (LTM) provides a highly integrated and cost effective implementation of the TC (Transmission Convergence) and PMD (Physical Media Dependent) sub-layers of ATM network for cellbased interface according to ITU-T Recommendation I.432 [1] except operation administration and maintenance (OAM) functions. The intended use of this chip is to provide the ATM interface for the applications operating at ITU bit rates 622 and 155 Mbps. The circuit is usable with coaxial cables and optical fibers as well. The LTM presented in this paper is the monolithic version of the Line Terminator hybrid module [2] designed for the European project RACE 1022 (Technology for ATM) [3]. The LTM consists of a digital processing block (LTP for ATM-TC functions) and a high speed analogue transceiver block (LTS for ATM-PMD functions) integrated together on the same chip in a 0.7 µm BiCMOS process.the integration of the digital and analogue functions in the same chip reduces drastically the transmission problems as cross-talk, critical wire length, current switching in the input-output CMOS buffers. The LTM also allows a reduction in power dissipation and in PCB area using a standard package. 2: DESIGN OF THE CIRCUIT. The design of LTM circuit was divided into two main blocks: a CMOS block (LTP) and a BiCMOS block (LTS). The LTP block has a simple 8-bit data interface for transmit and receive which easily connects to available circuits supporting the ATM/AAL layers. The LTS will connect to the cable media through specific circuitry which provides isolation and the required filtering. To transmit data, the LTP block receives the standard 53-bytes ATM cell at or MHz according to the bit rate selected, scrambles the data, generates the Header Error Control (HEC) on the 4 first bytes of the cell header. The scrambled data and the byte clock are provided to the LTS block. The LTS generates the bit clock at 622 or 155 MHz, serializes the data and transmits them onto the cable media. The bit stream is received by the LTS block which recovers the clock and data. The data are de-serialized and transmitted with the byte clock to the LTP block. The LTP performs the cell delineation, de-scrambles the data, generates the HEC and transmits the data to the 8-bit parallel interface. 2.1 : CMOS PROCESSOR: LTP (Line Terminator Parallel). Figure 1 shows the LTP and its 3 major blocks: a reception block (LTP_RX), a transmission block (LTP_TX), a microprocessor interface block (LTP_INTER) and the associated input/output buffers. The LTP performs the cell processing functions associated to the Line Terminator Monochip: idle cell insertion/extraction, distributed sample scrambling (DSS), HEC generation/verification, Cell delineation based on HEC syndrome calculation, DSS de-scrambling. It should be noted that the gate level design of LTP_TX and LTP_RX blocks was reused from the CMOS design of the LTP circuit [4] used in the previous Line

2 ATM_IN up Interface ATM_in LTP_TX LTP_INTER ATM_OUT ATM_out Idle_cell_det LTP_RX + + DEC Scrambler HEC up Controller Alarms HEC LTP + Descrambler Control Masks Status Error Counters Correction DECH Cell_delineation LTP - LTS Interface Cell_in Byte_clk_tx Status Control Cell_out Skip 3 4 Byte_clk_rx Parallel/ Serial Master Timing out PLL Serial/ Parallel Master Timing in Reg LTS External Loopback Internal Loopback Ck recovery PLL LTS_TX LTS_RX SDO SDON Serial Interface SDI SDIN Figure 1: Line Terminator Monochip block diagram Terminator Hybrid Module, defined in the RACE 1022 project. These two blocks were designed to operate at 80 MHz in worst case conditions. However, the microprocessor interface (LTP_INTER) was completely re-designed to support the most popular standard microprocessors.the LTP was also improved adding design for testability features to facilitate the test of the whole LTM circuit : Design Methodology: The LTP was designed following a top-down approach starting from a VHDL RTL description and using a CMOS cell library. After achievement of CMOS design, the layout of the CMOS standard cells was automatically transformed in BiCMOS layout. This was possible thanks to the full compatibility between the CMOS and its corresponding BiCMOS process.this process consisted of generating and adding some specific layers using special tools and procedures developed for this purpose. The place and route of the LTP macro-block was performed using the BiCMOS library. Each design level (RTL, gate) has been validated by simulation, this includes the simulation of back-annotated netlist to consider the delays introduced by the parasitic capacitances and routing. The simulation results were compared at each level, one to each other, to validate the functional consistency of the whole LTP design. VHDL DESCRIPTION Validation Validation SYNTHESIS SYNOPSYS NETLIST TRANSLATOR PLACE & ROUTE BACKANNOTATION Validation VERIFICATION FUNCTIONAL CMOS LIBRARY CMOS TO BICMOS LAYOUT DRC HIERARCHICAL LVS Figure 2: LTP Design Methodology

3 The last step in the LTP design was the BiCMOS layout verification: DRC (Design Rules Check) and LVS (Layout Versus Schematic). Figure 2 shows the methodology followed in the design of LTP : Design for Testability: To facilitate the test of the LTP block, design for testability was introduced in the design. Different functional tests have been implemented to verify the whole LTP function, these modes are: transmission mode, reception mode, micro-processor mode and transparent mode. An additional mode (LTS mode) was defined at chip level to facilitate the test of LTS and LTP blocks independently. Further, partial SCAN- PATH was introduced to provide controllability and observability of each block of the LTP (TX,RX, Micro- Inter), this test allows to verify the circuit structure using Design For Testability (DFT) techniques. All these modes are programmable via 5 test pin-inputs which control the finite state machine used to generate the commands to drive the multiplexers. 2.2 : BIPOLAR PART: LTS (Line Terminator Serial) The LTS is the high speed parallel/serial interface of the Line Terminator Monochip. The design characteristics require modules operating at a very high frequency (622 MHz). These modules use bipolar transistors to perform this frequency. Figure 1 shows the different functions implemented in the LTS block. The transmission (LTS_TX) and reception (LTS_RX) parts can work independently according to the bit rate selected at 622 and 155 Mbps. The main constraints in the design of the LTS block were the following: + In the transmitter, synchronization of the bit clock at 622 MHz with the byte clock at 78 MHz. + In the receiver, 622 MHz bit clock recovery from the incoming serial data. + In the LTS block, avoid the digital noise coming from the LTP block and the cross-talk between transmitter and receiver parts. The transmitter is essentially composed by a 8 to 1 multiplexer and a PLL. To transmit data before the end of the locking process, the phase tolerance on the input of the multiplexer is increased to 0.9 radians using a shift register architecture. Figure 3 shows the voltage controlled oscillator (VCO) of the PLL designed to operate at 622 MHz. It is based on an emitter coupled capacitor architecture. The period of the oscillator varies as T 4 Capa VD = Iosc The VCO free running frequency is centered by an external trimmer. Simulation results (figure 4) show that the PLL is locked in 200ns. The only off-chip PLL components are in the RC filter. The receiver is primarily composed of a clock recovery and a 1 to 8 de-multiplexer. To handle low transition densities without losing any bit, a similar broadband PLL with decision based on a double sampling of incoming data is implemented using a VCO identical to the transmitter one. In the case of a no transition sequence, the VCO returns slowly to its free running frequency. The demultiplexer de-serializes the bit stream, using the clock recovered into an 8-bit parallel data. The de-multiplexer module includes a shift function allowing to skip one bit at a time under request of the LTP to perform the byte delineation process. VD Q3 D1 R1 R2 D2 Q1 Q2 Figure 3: VCO Schema Q4 V1 V2 Q5 Vf Q7 Q8 Vf Q6 VCS Iosc1 (Bandgap) Iosc2 R3 VCC GND NOUT V3 V4 R5 dv(capa) OUT R6 VD R4 The following precautions were taken to resolve the noise coupling problem announced above: the two PLL contained in the LTS are isolated from their environment by triple wall isolation. Each LTS sub-block (LTS_TX and LTS_RX) uses two separate power supplies, the first one for the PLL, and the second one for the ECL digital cells. The LTS Inputs/Outputs power supplies are independent from the sub-blocks. Finally, to minimize the noise coming through the substrate from the LTP digital block and the CMOS I/Os, the Nmos bulk was isolated from the substrate by a N-iso buried layer available in the process used.

4 Volt Min technology, VCC = 4.5 Volts, T=-40deg C, Rext = 20 K. DIN VCO/8 VERR VERRN time Figure 4: Simulation Results of Tx-PLL 2.2.1: Design Methodology of LTS: A double approach was followed in the LTS design: a top-down design starting from the LTS specifications and going down to the specifications and design of the library cells (ECL and ANALOGUE), and a bottom-up approach to build the circuit starting from the library cells. Figure 5 shows the methodology followed in the LTS design. ARCHITECTURE BLOCK DESIGN CIRCUIT LAYOUT BLOCK LAYOUT 2.2.2: Design for Testability: To facilitate the test of the LTS part, some features were added to the design. Each module (TX_PLL, RX_PLL, MUX, DEMUX, I/O 622 MHz) can be independently tested using specific test control pins. For instance, the functional test of the transmitter PLL is performed with an external clock. The same facility is also offered for the clock recovery. The test of the MUX and DEMUX modules is also done using two external clocks. Other test facilities included in the design are two loops: the internal loop back which permits to test the complete circuit function: transmission-reception, and the external loop back which permits to test the I/O buffers. MODULE DESIGN CELL DESIGN DEVICES LIBRARY ECL LIBRARY MODULE LAYOUT ANALOG LIBRARY Figure 5: LTS Design Flow

5 DEMUX LTP_RX CLOCK RECOVERY LTP_INTER PLL LTP_TX MUX Figure 6: LTmono-chip micro-photography 2.3 : LTM (LTP + LTS) When the LTP and LTS designs were completed and the layout finished, both blocks were assembled together by a single abutment. A back-annotated simulation of LTP-LTS interface was performed with a mixed-mode simulator to verify the right functional operation of the whole circuit. DRC and LVS were performed to verify the LTM layout. 3: RESULTS 3.1 : Results from the design phase of LTM Figure 6 shows the LTmono-chip (LTP+LTS) micro-photograph. The results obtained from the LTM design phase are the following: + Size: 34.8 mm 2. The chip is pad limited with 124 bonding pads. + Complexity: transistors for the CMOS part devices for the BiCMOS part. 3.2 : Electrical Results. The LTM circuit was tested at the typical operation frequency of MHz in the LTP and of MHz in the LTS. The main measurement results at typical conditions are the following: Table 1: (Tamb = 25 _C, Vdd=Vcc = 5 Volts) Parameters Power Consumption. Free running frequency (FRF) VCO drift Capture range Transmitter Serial output jitter Transmitter Capture range Lock range Byte clock jitter Parallel Data jitter Results 3.2 W 570 MHz, The VCO is adjustable if its FRF is inside [ MHz] F/dVdd = 120 ppm/mv F/dT = 370 ppm/ 0 C +/- 2.6 MHz centered at MHz 150 ps between Byte_CK and serial data. +/- 16 MHz centered at MHz +/- 30 MHz centered at MHz 250 ps between serial data and Byte_Ck 150 ps between Byte_Ck and parallel data

6 Figure 7: Eye Diagram measured on the serial output of LTM Table 1: (Tamb = 25 _C, Vdd=Vcc = 5 Volts) Parameters Bit Error Rate Transceiver Results 4x1E-12 measured inside an ATM system using PRBS X31 + X28 +1 generated by the LTP block. No evidence of cross talk noise between transmitter and receiver. Figure 7 shows the eye diagram measured on the serial output of LTM. 4: CONCLUSIONS The Line Terminator mono-chip, which worked satisfactorily at the first cut, is packed in a 172-pin CQFP. The LTM design in a BiCMOS process offers major improvements over the hybrid version developed in the frame of the RACE 1022 project such as: reliable operation at 622 MHz, reduced power from 5 to 3 W, no evidence of cross talk between transmission and reception, reduced package dimensions, drastic reduction of the part cost. These results demonstrate the advantages of the BiCMOS technology to merge complex and high speed logic on the same chip. REFERENCES. [1] ITU-T Recommendation I.432. B-ISDN User Network Interface - Physical Layer Specification March 1993 [2] M. Diaz Nava, J. Bulone, D. Belot, L. Dugoujon. A 622Mp/s Line Terminator for the ATM network. ISSCC Digest of technical papers, February [3] The R1022 ATD Technology Testbed. A description TGE- RACE 1022 project. September [4] J. Bulone, M. Diaz Nava. A CMOS ASIC to Implement the TC sublayer in the Physical Layer of the ATM network Euro-ASIC 92 Proceedings, February 1992.

Technical Committee. E3 Public UNI. af-phy

Technical Committee. E3 Public UNI. af-phy Technical Committee E3 Public UNI August 1995 1995 The ATM Forum. All Rights Reserved. No part of this publication may be reproduced in any form or by any means The information in this publication is believed

More information

VC Look-Up Table. External Memory Controller. TX SAR Local Memory. Local Memory. Figure 1 - MT90528 Block Diagram

VC Look-Up Table. External Memory Controller. TX SAR Local Memory. Local Memory. Figure 1 - MT90528 Block Diagram 28-Port Primary Rate Circuit Emulation AAL1 SAR Features AAL1 Segmentation and Reassembly device compliant with Circuit Emulation Services (CES) standard (af-vtoa-0078.000) Supports both Unstructured and

More information

Technical Committee. E1 Physical Interface Specification. af-phy

Technical Committee. E1 Physical Interface Specification. af-phy Technical Committee E1 Physical Interface Specification September 1996 E1 Physical Interface Specification 1996 The ATM Forum. All Rights Reserved. No part of this publication may be reproduced in any

More information

IMPORTANT DIFFERENCES

IMPORTANT DIFFERENCES AN2258/D Rev. 0.4, 4/2002 Differences and Additions in the MPC82xx HiP3 and HiP4 Silicon This document provides information for customers who are migrating from the HiP3-process technology PowerQUICC II

More information

IEEE 1394a_2000 Physical Layer ASIC

IEEE 1394a_2000 Physical Layer ASIC IEEE 1394a_2000 Physical Layer ASIC Ranjit Yashwante, Bhalchandra Jahagirdar ControlNet (India) Pvt. Ltd. www.controlnetindia.com {ranjit, jahagir}@controlnet.co.in Abstract CN4011A is IEEE 1394a_2000

More information

PDH Switches. Switching Technology S PDH switches

PDH Switches. Switching Technology S PDH switches PDH Switches Switching Technology S38.165 http://www.netlab.hut.fi/opetus/s38165 8-1 PDH switches General structure of telecom exchange Timing and synchronization Dimensioning example 8-2 1 PDH exchange

More information

Application Note 1242

Application Note 1242 HFBR-5701L/5710L/5720L/5730L and HDMP-1687 Reference Design for 1.25 GBd Gigabit Ethernet and 1.0625 GBd Fiber Channel Applications Application Note 1242 Introduction Avago s objective in creating this

More information

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function.

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function. FPGA Logic block of an FPGA can be configured in such a way that it can provide functionality as simple as that of transistor or as complex as that of a microprocessor. It can used to implement different

More information

TIMA Lab. Research Reports

TIMA Lab. Research Reports ISSN 1292-862 TIMA Lab. Research Reports TIMA Laboratory, 46 avenue Félix Viallet, 38000 Grenoble France Session 1.2 - Hop Topics for SoC Design Asynchronous System Design Prof. Marc RENAUDIN TIMA, Grenoble,

More information

VC Look-Up Table. Segmentation / Reassembly Circular Buffers. External Memory Controller. TX SAR Local Memory. Local Memory

VC Look-Up Table. Segmentation / Reassembly Circular Buffers. External Memory Controller. TX SAR Local Memory. Local Memory 8-Port Primary Rate Circuit Emulation AAL1 SAR Features AAL1 Segmentation and Reassembly device compliant with Circuit Emulation Services (CES) standard (af-vtoa-78.) Supports both Unstructured and Structured

More information

BROADBAND AND HIGH SPEED NETWORKS

BROADBAND AND HIGH SPEED NETWORKS BROADBAND AND HIGH SEED NETWORKS LAYERS The function and associated information of the planes is as follows: The reference model is composed of the following planes: Control lane manages the call and connection.

More information

DS3/ATM Physical Layer Interface Specification

DS3/ATM Physical Layer Interface Specification Technical Committee DS3 Physical Layer Interface Specification January, 1996 (C) 1996 The ATM Forum. All Rights Reserved. No part of this publication may be reproduced in any form or by any means. The

More information

Selecting PLLs for ASIC Applications Requires Tradeoffs

Selecting PLLs for ASIC Applications Requires Tradeoffs Selecting PLLs for ASIC Applications Requires Tradeoffs John G. Maneatis, Ph.., President, True Circuits, Inc. Los Altos, California October 7, 2004 Phase-Locked Loops (PLLs) are commonly used to perform

More information

ASNT Gbps 1:16 Digital Deserializer

ASNT Gbps 1:16 Digital Deserializer 12.5Gbps 1:16 Digital Deserializer Broadband up to 12.5Gbps (gigabits per second) 1:16 Deserializer High-speed Input Data Buffer with on-chip 100Ohm differential termination. Full-rate CML Input Clock

More information

10GBase-R PCS/PMA Controller Core

10GBase-R PCS/PMA Controller Core 10GBase-R PCS/PMA Controller Core Contents 1 10GBASE-R PCS/PMA DATA SHEET 1 1.1 FEATURES.................................................. 1 1.2 APPLICATIONS................................................

More information

This Part-A course discusses techniques that are used to reduce noise problems in the design of large scale integration (LSI) devices.

This Part-A course discusses techniques that are used to reduce noise problems in the design of large scale integration (LSI) devices. Course Introduction Purpose This Part-A course discusses techniques that are used to reduce noise problems in the design of large scale integration (LSI) devices. Objectives Understand the requirement

More information

ASNT1016-PQA 16:1 MUX-CMU

ASNT1016-PQA 16:1 MUX-CMU 16:1 MUX-CMU 16 to 1 multiplexer (MUX) with integrated CMU (clock multiplication unit). PLL-based architecture featuring both counter and forward clocking modes. Supports multiple data rates in the 9.8-12.5Gb/s

More information

CKSET V CC _VCO FIL SDO+ MAX3952 SCLKO+ SCLKO- PRBSEN LOCK GND TTL

CKSET V CC _VCO FIL SDO+ MAX3952 SCLKO+ SCLKO- PRBSEN LOCK GND TTL 19-2405; Rev 0; 4/02 10Gbps 16:1 Serializer General Description The 16:1 serializer is optimized for 10.3Gbps and 9.95Gbps Ethernet applications. A serial clock output is provided for retiming the data

More information

155 Mb/s Optical Line Interface

155 Mb/s Optical Line Interface 155 Mb/s Optical Line Interface Agilent Technologies Broadband Series Test System Product Features Cell based implementation Operates in both cell mode and SONET/SDH frame mode Provides access to ATM cells

More information

Digital Design Methodology (Revisited) Design Methodology: Big Picture

Digital Design Methodology (Revisited) Design Methodology: Big Picture Digital Design Methodology (Revisited) Design Methodology Design Specification Verification Synthesis Technology Options Full Custom VLSI Standard Cell ASIC FPGA CS 150 Fall 2005 - Lec #25 Design Methodology

More information

Digital Design Methodology

Digital Design Methodology Digital Design Methodology Prof. Soo-Ik Chae Digital System Designs and Practices Using Verilog HDL and FPGAs @ 2008, John Wiley 1-1 Digital Design Methodology (Added) Design Methodology Design Specification

More information

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Hardware Design Environments Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Outline Welcome to COE 405 Digital System Design Design Domains and Levels of Abstractions Synthesis

More information

Ting Wu, Chi-Ying Tsui, Mounir Hamdi Hong Kong University of Science & Technology Hong Kong SAR, China

Ting Wu, Chi-Ying Tsui, Mounir Hamdi Hong Kong University of Science & Technology Hong Kong SAR, China CMOS Crossbar Ting Wu, Chi-Ying Tsui, Mounir Hamdi Hong Kong University of Science & Technology Hong Kong SAR, China OUTLINE Motivations Problems of Designing Large Crossbar Our Approach - Pipelined MUX

More information

Programmable CMOS LVDS Transmitter/Receiver

Programmable CMOS LVDS Transmitter/Receiver SPECIFICATION 1. FEATURES Technology TSMC 0.13um CMOS 3.3 V analog power supply 1.2 V digital power supply 1.2V CMOS input and output logic signals 8-step (3-bit) adjustable transmitter output current

More information

The VHDL Based Design of the MIDA MPEG1 Audio Decoder

The VHDL Based Design of the MIDA MPEG1 Audio Decoder The VHDL Based Design of the MIDA MPEG1 Audio Decoder Andrea Finotello, Maurizio Paolini CSELT - Centro Studi E Laboratori Telecomunicazioni S.p.A. Via Guglielmo Reiss Romoli, 274 I-10148 Torino, Italy

More information

Technical Committee. Interoperability Abstract Test Suites for the Physical Layer. af-test

Technical Committee. Interoperability Abstract Test Suites for the Physical Layer. af-test Technical Committee Interoperability Abstract Test Suites af-test-0036.000 April, 1995 af-test-0036.000 Interoperability Abstract Test Suites Interoperability Abstract Test Suites Version 1.0 April, 1995

More information

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) Objective Part A: To become acquainted with Spectre (or HSpice) by simulating an inverter,

More information

ASIC Physical Design Top-Level Chip Layout

ASIC Physical Design Top-Level Chip Layout ASIC Physical Design Top-Level Chip Layout References: M. Smith, Application Specific Integrated Circuits, Chap. 16 Cadence Virtuoso User Manual Top-level IC design process Typically done before individual

More information

Synchronous Optical Networks SONET. Computer Networks: SONET

Synchronous Optical Networks SONET. Computer Networks: SONET Synchronous Optical Networks SONET 1 Telephone Networks {Brief History} Digital carrier systems The hierarchy of digital signals that the telephone network uses. Trunks and access links organized in DS

More information

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation Course Goals Lab Understand key components in VLSI designs Become familiar with design tools (Cadence) Understand design flows Understand behavioral, structural, and physical specifications Be able to

More information

Serializer Deserializer POSSIBILITIES OF COMMUNICATION. MADE EASY. For extremely high communications reliability in radiation environments

Serializer Deserializer POSSIBILITIES OF COMMUNICATION. MADE EASY. For extremely high communications reliability in radiation environments Serializer Deserializer POSSIBILITIES OF COMMUNICATION. MADE EASY. For extremely high communications reliability in radiation environments Serializer Deserializer Industry challenges The industry continues

More information

Synchronous Optical Networks (SONET) Advanced Computer Networks

Synchronous Optical Networks (SONET) Advanced Computer Networks Synchronous Optical Networks (SONET) Advanced Computer Networks SONET Outline Brief History SONET Overview SONET Rates SONET Ring Architecture Add/Drop Multiplexor (ADM) Section, Line and Path Virtual

More information

Will Silicon Proof Stay the Only Way to Verify Analog Circuits?

Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Pierre Dautriche Jean-Paul Morin Advanced CMOS and analog. Embedded analog Embedded RF 0.5 um 0.18um 65nm 28nm FDSOI 0.25um 0.13um 45nm 1997

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

Innovative DSPLL and MultiSynth Clock Architecture Enables High-Density 10/40/100G Line Card Designs

Innovative DSPLL and MultiSynth Clock Architecture Enables High-Density 10/40/100G Line Card Designs Innovative and MultiSynth Clock Architecture Enables High-Density 10/40/100G Line Card Designs Introduction The insatiable demand for bandwidth to support applications such as video streaming and cloud

More information

AMchip architecture & design

AMchip architecture & design Sezione di Milano AMchip architecture & design Alberto Stabile - INFN Milano AMchip theoretical principle Associative Memory chip: AMchip Dedicated VLSI device - maximum parallelism Each pattern with private

More information

HOMEWORK 9 CMPEN 411 Due: 4/12/ :30pm

HOMEWORK 9 CMPEN 411 Due: 4/12/ :30pm HOMEWORK 9 CMPEN 411 Due: 4/12/2016 11:30pm Learning Objective Complete the full 8 bit RISC microprocessor chip design by placing the processor core design into the 40 pin 'tiny' chip pad frame. Do verify

More information

Physical Implementation

Physical Implementation CS250 VLSI Systems Design Fall 2009 John Wawrzynek, Krste Asanovic, with John Lazzaro Physical Implementation Outline Standard cell back-end place and route tools make layout mostly automatic. However,

More information

HOMEWORK 10 CMPEN 411 Due: 4/28/ :30pm

HOMEWORK 10 CMPEN 411 Due: 4/28/ :30pm HOMEWORK 10 CMPEN 411 Due: 4/28/2016 11:30pm Instruction First, fabrication ready the full 8 bit RISC microprocessor chip: redesign the chip (its components) to fit the entire chip fitted into the 40 pin

More information

An overview of standard cell based digital VLSI design

An overview of standard cell based digital VLSI design An overview of standard cell based digital VLSI design Implementation of the first generation AsAP processor Zhiyi Yu and Tinoosh Mohsenin VCL Laboratory UC Davis Outline Overview of standard cellbased

More information

On-chip Phase Locked Loop (PLL) design for clock multiplier in CMOS Monolithic Active Pixel Sensors (MAPS)

On-chip Phase Locked Loop (PLL) design for clock multiplier in CMOS Monolithic Active Pixel Sensors (MAPS) On-chip Phase Locked Loop (PLL) design for clock multiplier in CMOS Monolithic Active Pixel Sensors (MAPS) Q. Sun a,b, K. Jaaskelainen a, I. Valin a, G. Claus a, Ch. Hu-Guo a, Y. Hu a, a IPHC (Institut

More information

CAP+ CAP. Loop Filter

CAP+ CAP. Loop Filter STS-12/STS-3 Multirate Clock and Data Recovery Unit FEATURES Performs clock and data recovery for 622.08 Mbps (STS-12/OC-12/STM-4) or 155.52 Mbps (STS-3/OC-3/STM-1) NRZ data 19.44 MHz reference frequency

More information

DEVBOARD3 DATASHEET. 10Mbits Ethernet & SD card Development Board PIC18F67J60 MICROCHIP

DEVBOARD3 DATASHEET. 10Mbits Ethernet & SD card Development Board PIC18F67J60 MICROCHIP DEVBOARD3 DATASHEET 10Mbits Ethernet & SD card PIC18F67J60 MICROCHIP Version 1.0 - March 2009 DEVBOARD3 Version 1.0 March 2009 Page 1 of 7 The DEVBOARD3 is a proto-typing board used to quickly and easily

More information

RTL Coding General Concepts

RTL Coding General Concepts RTL Coding General Concepts Typical Digital System 2 Components of a Digital System Printed circuit board (PCB) Embedded d software microprocessor microcontroller digital signal processor (DSP) ASIC Programmable

More information

OPERATIONAL UP TO. 300 c. Microcontrollers Memories Logic

OPERATIONAL UP TO. 300 c. Microcontrollers Memories Logic OPERATIONAL UP TO 300 c Microcontrollers Memories Logic Whether You Need an ASIC, Mixed Signal, Processor, or Peripheral, Tekmos is Your Source for High Temperature Electronics Using either a bulk silicon

More information

A 2 Gb/s Asymmetric Serial Link for High-Bandwidth Packet Switches

A 2 Gb/s Asymmetric Serial Link for High-Bandwidth Packet Switches A 2 Gb/s Asymmetric Serial Link for High-Bandwidth Packet Switches Ken K. -Y. Chang, William Ellersick, Shang-Tse Chuang, Stefanos Sidiropoulos, Mark Horowitz, Nick McKeown: Computer System Laboratory,

More information

TSBCD025 High Voltage 0.25 mm BCDMOS

TSBCD025 High Voltage 0.25 mm BCDMOS TSBCD025 High Voltage 0.25 mm BCDMOS TSI Semiconductors' 0.25 mm process is a feature rich platform with best in class CMOS, LDMOS, and BiPolar devices. The BCD technology enables logic, Mixed-Signal,

More information

Graphics: Alexandra Nolte, Gesine Marwedel, Universität Dortmund. RTL Synthesis

Graphics: Alexandra Nolte, Gesine Marwedel, Universität Dortmund. RTL Synthesis Graphics: Alexandra Nolte, Gesine Marwedel, 2003 Universität Dortmund RTL Synthesis Purpose of HDLs Purpose of Hardware Description Languages: Capture design in Register Transfer Language form i.e. All

More information

ATM Technology in Detail. Objectives. Presentation Outline

ATM Technology in Detail. Objectives. Presentation Outline ATM Technology in Detail Professor Richard Harris Objectives You should be able to: Discuss the ATM protocol stack Identify the different layers and their purpose Explain the ATM Adaptation Layer Discuss

More information

Sketch A Transistor-level Schematic Of A Cmos 3-input Xor Gate

Sketch A Transistor-level Schematic Of A Cmos 3-input Xor Gate Sketch A Transistor-level Schematic Of A Cmos 3-input Xor Gate DE09 DIGITALS ELECTRONICS 3 (For Mod-m Counter, we need N flip-flops (High speeds are possible in ECL because the transistors are used in

More information

ASNT7122-KMA 15GS/s, 4-bit Flash Analog-to-Digital Converter with HS Outputs

ASNT7122-KMA 15GS/s, 4-bit Flash Analog-to-Digital Converter with HS Outputs ASNT7122-KMA 15GS/s, 4-bit Flash Analog-to-Digital Converter with HS Outputs 20GHz analog input bandwidth Selectable clocking mode: external high-speed clock or internal PLL with external reference clock

More information

10Gb Ethernet PCS Core

10Gb Ethernet PCS Core July 2002 Features Complete 10Gb Ethernet Physical Coding Sublayer (PCS) Solution Based on the ORCA 10 Gbits/s Line Interface (ORLI10G) FPSC, Enabling Flexible10GbE LAN/WAN Application Solutions. IP Targeted

More information

An Overview of Standard Cell Based Digital VLSI Design

An Overview of Standard Cell Based Digital VLSI Design An Overview of Standard Cell Based Digital VLSI Design With examples taken from the implementation of the 36-core AsAP1 chip and the 1000-core KiloCore chip Zhiyi Yu, Tinoosh Mohsenin, Aaron Stillmaker,

More information

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 TABLE OF CONTENTS 1.0 PURPOSE... 1 2.0 INTRODUCTION... 1 3.0 ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 3.1 PRODUCT DEFINITION PHASE... 3 3.2 CHIP ARCHITECTURE PHASE... 4 3.3 MODULE AND FULL IC DESIGN PHASE...

More information

± 2g Tri-axis Accelerometer Specifications

± 2g Tri-axis Accelerometer Specifications Product Description The is a Tri-axis, silicon micromachined accelerometer with a full-scale output range of +/-2g (19.6 m/s/s). The sense element is fabricated using Kionix s proprietary plasma micromachining

More information

Spiral 2-8. Cell Layout

Spiral 2-8. Cell Layout 2-8.1 Spiral 2-8 Cell Layout 2-8.2 Learning Outcomes I understand how a digital circuit is composed of layers of materials forming transistors and wires I understand how each layer is expressed as geometric

More information

HIPEX Full-Chip Parasitic Extraction. Summer 2004 Status

HIPEX Full-Chip Parasitic Extraction. Summer 2004 Status HIPEX Full-Chip Parasitic Extraction Summer 2004 Status What is HIPEX? HIPEX Full-Chip Parasitic Extraction products perform 3D-accurate and 2D-fast extraction of parasitic capacitors and resistors from

More information

INTERNATIONAL TELECOMMUNICATION UNION. SERIES I: INTEGRATED SERVICES DIGITAL NETWORK B-ISDN equipment aspects Multiplexing aspects

INTERNATIONAL TELECOMMUNICATION UNION. SERIES I: INTEGRATED SERVICES DIGITAL NETWORK B-ISDN equipment aspects Multiplexing aspects INTERNATIONAL TELECOMMUNICATION UNION ITU-T I.761 TELECOMMUNICATION STANDARDIZATION SECTOR O ITU (03/2000) SERIES I: INTEGRATED SERVICES DIGITAL NETWORK B-ISDN equipment aspects Multiplexing aspects Inverse

More information

Access PIN-TIA Receivers for 155 Mb/s and 622 Mb/s EDR 51xx Series

Access PIN-TIA Receivers for 155 Mb/s and 622 Mb/s EDR 51xx Series COMMUNICATIONS COMPONENTS Access PIN- Receivers for 55 Mb/s and 6 Mb/s EDR 5xx Series Applications Single mode 55 Mb/s (EDR 5x) and 6 Mb/s (EDR 55x) ATM receivers Campus network backbone - Add/drop multiplexers

More information

FABRICATION TECHNOLOGIES

FABRICATION TECHNOLOGIES FABRICATION TECHNOLOGIES DSP Processor Design Approaches Full custom Standard cell** higher performance lower energy (power) lower per-part cost Gate array* FPGA* Programmable DSP Programmable general

More information

A 2.5 gb/s GaAs ATM Mux Demux ASIC

A 2.5 gb/s GaAs ATM Mux Demux ASIC Downloaded from orbit.dtu.dk on: Aug 26, 2018 A 2.5 gb/s GaAs ATM Mux Demux ASIC Madsen, Jens Kargaard; Lassen, Peter Stuhr Published in: Technical Digest of the 17th Annual IEEE Gallium Arsenide Integrated

More information

Hipex Full-Chip Parasitic Extraction

Hipex Full-Chip Parasitic Extraction What is Hipex? products perform 3D-accurate and 2D-fast extraction of parasitic capacitors and resistors from hierarchical layouts into hierarchical transistor-level netlists using nanometer process technology

More information

Current and Projected Digital Complexity of DMT VDSL

Current and Projected Digital Complexity of DMT VDSL June 1, 1999 1 Standards Project: T1E1.4:99-268 VDSL Title: Current and Projected Digital Complexity of DMT VDSL Source: Texas Instruments Author: C. S. Modlin J. S. Chow Texas Instruments 2043 Samaritan

More information

Memory Arrays. Array Architecture. Chapter 16 Memory Circuits and Chapter 12 Array Subsystems from CMOS VLSI Design by Weste and Harris, 4 th Edition

Memory Arrays. Array Architecture. Chapter 16 Memory Circuits and Chapter 12 Array Subsystems from CMOS VLSI Design by Weste and Harris, 4 th Edition Chapter 6 Memory Circuits and Chapter rray Subsystems from CMOS VLSI Design by Weste and Harris, th Edition E E 80 Introduction to nalog and Digital VLSI Paul M. Furth New Mexico State University Static

More information

Prototyping NGC. First Light. PICNIC Array Image of ESO Messenger Front Page

Prototyping NGC. First Light. PICNIC Array Image of ESO Messenger Front Page Prototyping NGC First Light PICNIC Array Image of ESO Messenger Front Page Introduction and Key Points Constructed is a modular system with : A Back-End as 64 Bit PCI Master/Slave Interface A basic Front-end

More information

BASICS. Select The Optimum ASIC Approach

BASICS. Select The Optimum ASIC Approach BASICS of ASIC TRADEOFFS Dave Bursky, Digital ICs/DSP Editor Select The Optimum ASIC Approach Design hen pushing the performance of a custom network processor or widening the bandwidth of a next-generation

More information

AMS DESIGN METHODOLOGY

AMS DESIGN METHODOLOGY OVER VIEW CADENCE ANALOG/ MIXED-SIGNAL DESIGN METHODOLOGY The Cadence Analog/Mixed-Signal (AMS) Design Methodology employs advanced Cadence Virtuoso custom design technologies and leverages silicon-accurate

More information

UNIVERSITY OF WATERLOO

UNIVERSITY OF WATERLOO UNIVERSITY OF WATERLOO UW ASIC DESIGN TEAM: Cadence Tutorial Description: Part I: Layout & DRC of a CMOS inverter. Part II: Extraction & LVS of a CMOS inverter. Part III: Post-Layout Simulation. The Cadence

More information

Physical Synthesis and Electrical Characterization of the IP-Core of an IEEE-754 Compliant Single Precision Floating Point Unit

Physical Synthesis and Electrical Characterization of the IP-Core of an IEEE-754 Compliant Single Precision Floating Point Unit Physical Synthesis and Electrical Characterization of the IP-Core of an IEEE-754 Compliant Single Precision Floating Point Unit Alian Engroff, Leonardo Tomazine Neto, Edson Schlosser and Alessandro Girardi

More information

MAR2100 Maradin MEMS Drive & Control

MAR2100 Maradin MEMS Drive & Control Features Both Electro-magnetic and Electro-static actuators drivers 2D (Vertical and horizontal) capacitance sensing Programmable Gain and BW for sensing and control circuitry Capacitance calibration for

More information

MAX3872/MAX3874 Evaluation Kits

MAX3872/MAX3874 Evaluation Kits 9-2767; Rev 0, 2/03 MAX3872/MAX3874 Evaluation Kits General Description The MAX3872/MAX3874 evaluation kits (EV kits) simplify evaluation of the MAX3872 and MAX3874 clock and data recovery with limiting

More information

Case study of Mixed Signal Design Flow

Case study of Mixed Signal Design Flow IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 3, Ver. II (May. -Jun. 2016), PP 49-53 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Case study of Mixed Signal Design

More information

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors)

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors) 1 COEN-4730 Computer Architecture Lecture 12 Testing and Design for Testability (focus: processors) Cristinel Ababei Dept. of Electrical and Computer Engineering Marquette University 1 Outline Testing

More information

SDH. Protected monitoring point or dedicated monitor access

SDH. Protected monitoring point or dedicated monitor access CMA 3000 ATM Test Options SPECIFICATIONS Testing ATM connections has never been easier CMA 3000 is Anritsu s next-generation portable and futureproof field tester for the installation and maintenance of

More information

Introduction to ICs and Transistor Fundamentals

Introduction to ICs and Transistor Fundamentals Introduction to ICs and Transistor Fundamentals A Brief History 1958: First integrated circuit Flip-flop using two transistors Built by Jack Kilby at Texas Instruments 2003 Intel Pentium 4 mprocessor (55

More information

l Some materials from various sources! Soma 1! l Apply a signal, measure output, compare l 32-bit adder test example:!

l Some materials from various sources! Soma 1! l Apply a signal, measure output, compare l 32-bit adder test example:! Acknowledgements! Introduction and Overview! Mani Soma! l Some materials from various sources! n Dr. Phil Nigh, IBM! n Principles of Testing Electronic Systems by S. Mourad and Y. Zorian! n Essentials

More information

Mixed-Signal. From ICs to Systems. Mixed-Signal solutions from Aeroflex Colorado Springs. Standard products. Custom ASICs. Mixed-Signal modules

Mixed-Signal. From ICs to Systems. Mixed-Signal solutions from Aeroflex Colorado Springs. Standard products. Custom ASICs. Mixed-Signal modules A passion for performance. Mixed-Signal solutions from Aeroflex Colorado Springs Standard products Custom ASICs Mixed-Signal modules Circuit card assemblies Mixed-Signal From ICs to Systems RadHard ASICs

More information

CMOS Process Flow. Layout CAD Tools

CMOS Process Flow. Layout CAD Tools CMOS Process Flow See supplementary power point file for animated CMOS process flow (see class ece410 website and/or* http://www.multimedia.vt.edu/ee5545/): This file should be viewed as a slide show It

More information

EITF35: Introduction to Structured VLSI Design

EITF35: Introduction to Structured VLSI Design EITF35: Introduction to Structured VLSI Design Part 1.1.2: Introduction (Digital VLSI Systems) Liang Liu liang.liu@eit.lth.se 1 Outline Why Digital? History & Roadmap Device Technology & Platforms System

More information

Single Event Latchup Power Switch Cell Characterisation

Single Event Latchup Power Switch Cell Characterisation Single Event Latchup Power Switch Cell Characterisation Vladimir Petrovic, Marko Ilic, Gunter Schoof Abstract - In this paper are described simulation and measurement processes of a power switch cell used

More information

File: 'ReportV37P-CT89533DanSuo.doc' CMPEN 411, Spring 2013, Homework Project 9 chip, 'Tiny Chip' fabricated through MOSIS program

File: 'ReportV37P-CT89533DanSuo.doc' CMPEN 411, Spring 2013, Homework Project 9 chip, 'Tiny Chip' fabricated through MOSIS program MOSIS Chip Test Report Dan Suo File: 'ReportV37P-CT89533DanSuo.doc' CMPEN 411, Spring 2013, Homework Project 9 chip, 'Tiny Chip' fabricated through MOSIS program Technology: 0.5um CMOS, ON Semiconductor

More information

Implementing LVDS in Cyclone Devices

Implementing LVDS in Cyclone Devices Implementing LVDS in Cyclone Devices March 2003, ver. 1.1 Application Note 254 Introduction Preliminary Information From high-speed backplane applications to high-end switch boxes, LVDS is the technology

More information

SIDDHARTH INSTITUTE OF ENGINEERING AND TECHNOLOGY :: PUTTUR (AUTONOMOUS) Siddharth Nagar, Narayanavanam Road QUESTION BANK UNIT I

SIDDHARTH INSTITUTE OF ENGINEERING AND TECHNOLOGY :: PUTTUR (AUTONOMOUS) Siddharth Nagar, Narayanavanam Road QUESTION BANK UNIT I SIDDHARTH INSTITUTE OF ENGINEERING AND TECHNOLOGY :: PUTTUR (AUTONOMOUS) Siddharth Nagar, Narayanavanam Road 517583 QUESTION BANK Subject with Code : DICD (16EC5703) Year & Sem: I-M.Tech & I-Sem Course

More information

Microelectronics Presentation Days March 2010

Microelectronics Presentation Days March 2010 Microelectronics Presentation Days March 2010 FPGA for Space Bernard Bancelin for David Dangla Atmel ASIC BU Aerospace Product Line Everywhere You Are Atmel Radiation Hardened FPGAs Re-programmable (SRAM

More information

MULTIPLEXER / DEMULTIPLEXER IMPLEMENTATION USING A CCSDS FORMAT

MULTIPLEXER / DEMULTIPLEXER IMPLEMENTATION USING A CCSDS FORMAT MULTIPLEXER / DEMULTIPLEXER IMPLEMENTATION USING A CCSDS FORMAT Item Type text; Proceedings Authors Grebe, David L. Publisher International Foundation for Telemetering Journal International Telemetering

More information

National Semiconductor EVK User Manual

National Semiconductor EVK User Manual SD356EVK Evaluation Kit Board for LMH0356 SDI Reclocker User Manual National Semiconductor EVK User Manual Introduction The LMH0356 Serial Digital Interface (SDI) reclocker is designed to recover a clean

More information

DEPT OF ECE EC6612 -VLSI DESIGN LABORATORY MANUAL (REGULATION-2013) LAB MANUAL DEPARTMENT OF ECE NAME: REGISTER NUMBER: YEAR/SEM.: ACADEMIC YEAR: 2015-2016 DEPT OF ECE EC6612 -VLSI DESIGN LABORATORY MANUAL

More information

An FPGA Architecture Supporting Dynamically-Controlled Power Gating

An FPGA Architecture Supporting Dynamically-Controlled Power Gating An FPGA Architecture Supporting Dynamically-Controlled Power Gating Altera Corporation March 16 th, 2012 Assem Bsoul and Steve Wilton {absoul, stevew}@ece.ubc.ca System-on-Chip Research Group Department

More information

Programmable Logic Devices II

Programmable Logic Devices II São José February 2015 Prof. Hoeller, Prof. Moecke (http://www.sj.ifsc.edu.br) 1 / 28 Lecture 01: Complexity Management and the Design of Complex Digital Systems Prof. Arliones Hoeller arliones.hoeller@ifsc.edu.br

More information

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES DIGITAL DESIGN TECHNOLOGY & TECHNIQUES CAD for ASIC Design 1 INTEGRATED CIRCUITS (IC) An integrated circuit (IC) consists complex electronic circuitries and their interconnections. William Shockley et

More information

Section 2: Physical Layer Interfaces Specification

Section 2: Physical Layer Interfaces Specification Section 2: Physical Layer Interfaces Specification 11 ATM USER-NETWORK INTERFACE SPECIFICATION (V3.1) 2 Physical Layer Interfaces Specification This section provides specifications for physical layer ATM

More information

AppNote-US2400-EVB Low Power 2.4GHz Transceiver

AppNote-US2400-EVB Low Power 2.4GHz Transceiver US2400-EVB for IEEE 802.15.4 Standard Revision History Hardware Revision Date Description of Changes V01 / V02 Sep. 2011 Initial release V03 Dec 2011 Addition 4.1 Evaluation Board Variants and 5.3 Connector

More information

A Configurable Radiation Tolerant Dual-Ported Static RAM macro, designed in a 0.25 µm CMOS technology for applications in the LHC environment.

A Configurable Radiation Tolerant Dual-Ported Static RAM macro, designed in a 0.25 µm CMOS technology for applications in the LHC environment. A Configurable Radiation Tolerant Dual-Ported Static RAM macro, designed in a 0.25 µm CMOS technology for applications in the LHC environment. 8th Workshop on Electronics for LHC Experiments 9-13 Sept.

More information

ASNT7120-KMA 10GS/s, 4-bit Flash Analog-to-Digital Converter

ASNT7120-KMA 10GS/s, 4-bit Flash Analog-to-Digital Converter 10GS/s, 4-bit Flash Analog-to-Digital Converter 18GHz analog input bandwidth. Selectable clocking mode: external high-speed clock or internal PLL with external lowspeed reference clock. Broadband operation

More information

Characterization and Reliability of custom digital ASIC designs using a 0.8µm bulk CMOS process for high temperature applications

Characterization and Reliability of custom digital ASIC designs using a 0.8µm bulk CMOS process for high temperature applications Characterization and Reliability of custom digital ASIC designs using a 0.8µm bulk CMOS process for high temperature applications Mark Watts, Shane Rose Quartzdyne, Inc. 4334 W Links Drive Salt Lake City,

More information

XRT91L30. Network & Transmission Products. STS-12/STM-4 or STS-3/STM-1 SONET/SDH TRANSCEIVER JUNE Rev

XRT91L30. Network & Transmission Products. STS-12/STM-4 or STS-3/STM-1 SONET/SDH TRANSCEIVER JUNE Rev JUNE 2007 Rev. 1.0.2 STS-12/STM-4 or STS-3/STM-1 SONET/SDH TRANSCEIVER Network & Transmission Products STS-12/STM-4 OR STS-3/STM-1 SONET/SDH TRANSCEIVER REV. 1.0.2 NOTES: II REV. 1.0.2 STS-12/STM-4 OR

More information

XRT94L33 GENERAL DESCRIPTION 3-CHANNEL DS3/E3/STS-1 TO STS-3/STM-1 MAPPER IC DATA SHEET

XRT94L33 GENERAL DESCRIPTION 3-CHANNEL DS3/E3/STS-1 TO STS-3/STM-1 MAPPER IC DATA SHEET N0VEMBER 2006 REV.1.2.0. GENERAL DESCRIPTION The is a highly integrated SONET/SDH terminator designed for E3/DS3/STS-1 mapping/de-mapping functions from either the STS-3 or STM-1 data stream. The interfaces

More information

MOSAID Semiconductor

MOSAID Semiconductor MOSAID Semiconductor Fabr-IC (A Single-Chip Gigabit Ethernet Switch With Integrated Memory) @Hot Chips Dave Brown Chief Architect July 4, 2001 Fabr-IC Feature summary 2 Gig ports 1 gig port for stacking

More information

Section 3 - Backplane Architecture Backplane Designer s Guide

Section 3 - Backplane Architecture Backplane Designer s Guide Section 3 - Backplane Architecture Backplane Designer s Guide March 2002 Revised March 2002 The primary criteria for backplane design are low cost, high speed, and high reliability. To attain these often-conflicting

More information

INTERNATIONAL TELECOMMUNICATION UNION. SERIES G: TRANSMISSION SYSTEMS AND MEDIA, DIGITAL SYSTEMS AND NETWORKS Digital networks General aspects

INTERNATIONAL TELECOMMUNICATION UNION. SERIES G: TRANSMISSION SYSTEMS AND MEDIA, DIGITAL SYSTEMS AND NETWORKS Digital networks General aspects INTERNATIONAL TELECOMMUNICATION UNION ITU-T G.804 TELECOMMUNICATION STANDARDIZATION SECTOR OF ITU (06/2004) SERIES G: TRANSMISSION SYSTEMS AND MEDIA, DIGITAL SYSTEMS AND NETWORKS Digital networks General

More information