CSE241 VLSI Digital Circuits Winter Lecture 17: Packaging

Size: px
Start display at page:

Download "CSE241 VLSI Digital Circuits Winter Lecture 17: Packaging"

Transcription

1 CSE241 VLSI Digital Circuits Winter 2003 Lecture 17: Packaging CSE241A L17 Packaging.1 Kahng, UCSD 2003

2 Logistics Plan for next four meetings: Today: Packaging Thursday: I/O, Cost Next Tuesday: Memories and IP Next Thursday: Project summaries Reading: pointers CSE241A L17 Packaging.2 Kahng, UCSD 2003

3 Reading Industry tools / websites Cadence Advanced Package Designer (Spider Route) Zuken Advanced IC Packaging (Radial Router) Innoveda (PADS) PowerBGA (BGA Route Wizard, BlazeRouter) CSE241A L17 Packaging.3 Kahng, UCSD 2003

4 Packaging Goals Get signals on and off the chip Get power into chip Get heat away from chip Avoid Electrostatic Discharge (ESD) Avoid incorrect operation due to noise from switching Styles Logic - BGA (Ball Grid Array) - QFP (Quad Flat Pack) Memory - TSOP (Thin Small Outline Package) - CSP (Chip Scale Package) Materials Ceramic Plastic CSE241A L17 Packaging.4 Kahng, UCSD 2003

5 Criteria Differ With Application Logic (microprocessor, ASIC) High power, high I/O count Small number per board (okay to be bigger) Higher ASPs (average selling price) Memory (DRAM, flash) Lower power, lower I/O count Large number per board (smaller is better) Cost is everything Stanford EE 271 CSE241A L17 Packaging.5 Kahng, UCSD 2003

6 Percentage of ASIC Design Starts by Pin Count 20 Peak moving to higher pins in future % of Designs 0 < >1,000 Package Pins Source: Altera Pkg analysis CSE241A L17 Packaging.6 Kahng, UCSD 2003

7 IC Package Type Usage CSE241A L17 Packaging.7 Kahng, UCSD 2003

8 Plastic Package Assembly Process Source: ICKnowledge.com CSE241A L17 Packaging.8 Kahng, UCSD 2003

9 Chip-Package-PCB Interface Problem Before Package PCB Trace PCB affinity Die After Escape route Package Trace Package pin Bond Wire Bond Pad CSE241A L17 Packaging.9 Kahng, UCSD 2003

10 Wire Bond BGA Package and Die Interfaces Package Die BGA Package Balls/Pins Core Logic IO Cells Bond pad Package Trace 70um Bond Wire PCB Traces CSE241A L17 Packaging.10 Kahng, UCSD 2003

11 Flip Chip BGA Package and Die Interfaces Package BGA Package Balls/Pins Die IO Cells IO/Bump Macro Block Core Logic RDL: IO cell to Bump connections Bumps (signal/ Pwr/Gnd) Note: Landing pads of Die Bumps and Package Solder Bumps are aligned PCB Traces Package Trace CSE241A L17 Packaging.11 Kahng, UCSD 2003

12 Wire Bonding Gold wire bonded to pads on IC, connected to pins of plastic or ceramic package Logic chips: pads placed around die periphery 1 row: 70µ pitch 2 rows: 40-50µ staggered pitch Memory (DRAM) chips: pads placed in line at center of die 1 row: µ pitch Pros Low cost Access to front side of die for probing Packages sealed, circuits protected by package Cons Pads must surround circuitry (mechanical stress during bonding would destroy circuits High thermal resistance from circuitry to heatsinks due to sealed package High-inductance connections (bond wires 1nH/mm, bond wire length typically 3-5mm) #bonds proportional to sqrt(die area) Not good for high power or #I/Os Stanford EE 271 CSE241A L17 Packaging.12 Kahng, UCSD 2003

13 Tiers of Wires When wire bonding a package,wires are grouped into tiers Each group of wires that shares the same approximate length and start/end locations can be bonded using a common loop height E.g., wires of length um use a low loop height Wires of length um use a higher loop height Wirebonding machine programmed by tiers (all wires with common tier or loop height bonded in one pass) CSE241A L17 Packaging.13 Kahng, UCSD 2003

14 Wirebond DRC s Avoid forbidden angles (stresses), shorts (flexing), crossing (planar topology), excessive length (parasitics), CSE241A L17 Packaging.14 Kahng, UCSD 2003

15 I/O cell placement optimization Spacing CSE241A L17 Packaging.15 Kahng, UCSD 2003

16 Area Savings/Usage of Corner Space Die Before Die After Wasted Corner Space Utilized Corner Space Area is wasted or underutilized in pad-limited designs Can save up to 1mm on each side depending upon height of the pads Pad/IO limited chips corner problem may increase die size CSE241A L17 Packaging.16 Kahng, UCSD 2003

17 IBM Microelectronics CSE241A L17 Packaging.17 Kahng, UCSD 2003

18 The Package Interconnect Problem Plating Bar Ball Field Bond Ring P/G Rings IC Mounted P/G above Ball Field the Package K. Wadland, Cadence Design Systems CSE241A L17 Packaging.18 Kahng, UCSD 2003

19 Connecting to the Plating Bar Each net splits the design in two K. Wadland, Cadence Design Systems CSE241A L17 Packaging.19 Kahng, UCSD 2003

20 Topological View Bond1 Bond2 Bond3 Bond4 Bond5 Net1 Net2 Net3 Net4 Net5 Each net splits the design in two Topology The study of properties of objects that remain unchanged when the object is stretched or bent, but not torn A branch of Geometry where distance has no meaning but "between" does Famous Examples: 7 Bridges of Königsberg (1736), Moebius strip (1858), Klein Bottle (1882) K. Wadland, Cadence Design Systems CSE241A L17 Packaging.20 Kahng, UCSD 2003

21 Topological Routing (cf. Maley, Leiserson 1980) 1. Build the Topological Map 2. Find a Topological Solution 3. Convert to a Geometric Solution K. Wadland, Cadence Design Systems CSE241A L17 Packaging.21 Kahng, UCSD 2003

22 Global Topological Routing 1. Build a Topological Representation 2a. Find a Topological Solution 2b. Refine the Topological Solution 3. Pass to the Detail Router for a Geometric Solution K. Wadland, Cadence Design Systems CSE241A L17 Packaging.22 Kahng, UCSD 2003

23 Detailed Topological Routing Pads Track Etch Free Space between objects Geometric View Topological View CSE241A L17 Packaging.23 Kahng, UCSD 2003

24 Flip-Chip Entire surface of die can be covered with bonding sites Placed on 250µ centers Small balls (bumps) added at wafer level Chip flipped over, connected to package Substrate is at top of package Pros Cons Reduced signal inductance (0.1 mm interconnect length vs 1-5 mm) enabling for high-speed communication and switching devices Higher signal density: entire surface of the die can be used for interconnect; multilayered substrate provides additional wiring layers (recall two-level clock, power distribution ideas) Die shrink: for pad limited die Reduced package footprint (reduced die to package edge requirements, higher-density substrate technologies) Good thermal interface (devices are closer to heat sink) Cost Debug Slide courtesy of Stanford, Amkor CSE241A L17 Packaging.24 Kahng, UCSD 2003

25 Bumps Flip-chip Power (Vdd/Vss) Signals Advantages Signals - Are locally fed - Reduces skew - Reduces delay of pushing to chip boundary Power: - Local power - Multiple Vdd sources - Different Vdd CSE241A L17 Packaging.25 Kahng, UCSD 2003

26 How Many Bumps Are Needed? Each chip supports interfaces = connections to outside world Memory, Bus (e.g., PCI), Clocks Each connection has defined #pins, recommended #power/ground connections to power, shield the interface Worksheet: Interfaces, #IO s, #supply bumps Additional bumps: spares, test/control Separate calculation for bumps that provide core power Based on current density; these bumps often a group in center of die CSE241A L17 Packaging.26 Source: Kahng, UCSD 2003

27 Arraying Bumps on Chip Surface Depends on chip dimensions, bump diameter/pitch Basic patterns Square Face-centered (symmetric) rotate square array by 45 degrees and chop off corners Face-centered (asymmetric) Bump labeling JEDEC (left) good for square patterns Ascending-Y (right) good for face-centered patterns CSE241A L17 Packaging.27 Source: Kahng, UCSD 2003

28 Assigning Interfaces to Bumps Planning tools such as from Artwork Conversions, Example: bump plan after assigning PCI, SCSI, VDD0 and VSS0 CSE241A L17 Packaging.28 Source: Kahng, UCSD 2003

29 Template Cells Task: Place > 1000 buffers and route each to the appropriate bump Automated solution: use library of hard macros (= predesigned circuitry placed into device at layout level) consisting of bumps, buffers and connecting traces Typically, 5-16 pre-placed and routed buffers Template = pattern of one or more bumps (on bump grid), buffer placement, and routing between bumps and buffers No underlying circuitry Several templates may be needed for large chip CSE241A L17 Packaging.29 Source: Kahng, UCSD 2003

30 Buffer Cells Each has origin,can be inserted with rotation (no mirroring) Openings for IO, power and ground Properties include voltage, function (uni/bidirectional, etc.) Dimensions fit into the grid system (e.g., pass power and ground by abutment) Buffers prerouted to a bump when everything placed, also implicitly routed CSE241A L17 Packaging.30 Source: Kahng, UCSD 2003

31 Tiling + Fanout Package Routing to Edge of IC B. Carlson, Cadence CSE241A L17 Packaging.31 Kahng, UCSD 2003

32 Ball Map Generation Excel, color-coding, 2 or more columns ASCII data JEDEC label, signal name, A1 VSS A2 VDD A3 CONTROL HIGH A4 CLOCK LINE 1 CSE241A L17 Packaging.32 Source: Kahng, UCSD 2003

33 Green Packaging Driven by Japanese OEMs, EC (standards in place 2006) U.S.: CHIP (Computer Hazardous Waste Infrastructure Programs) under consideration E.g., lead removed from solder balls, plating finish, package terminations Eutectic solder (63% Pb, 37% Sn) melting point: 183 degrees C Pb-free solder (3-4% Ag, 0.5-1% Cu, Sn) melting point: 220 degrees C Package, IC must tolerate degrees C peak temperatures during reflow Thermal expansion mechanical stresses CSE241A L17 Packaging.33 Source: Amkor Kahng, UCSD 2003

34 I/O Pads Traditional: peripheral I/O (pads at edge of die) Flip-chip: area-array I/O (pads anywhere on die) Types Generic (input, output, in/out, tri-state) Analog (differential) Memory Bidirectional High-speed (>1GHz) High-voltage (>5V) CSE241A L17 Packaging.34 Kahng, UCSD 2003

35 I/Os Electrical interface from IC to world (package = mechanical interface) Driver design = challenging analog design problem 3 rd -party IP is typically integrated (Nurlogic, Dolphin, ) Backwards compatibility a key problem Core supply voltages changing Older devices will have larger swings than new ones - Old: TTL level - Modern: 1.5v, 2.0v Bandwidth requirements increasing Need to have more pins or higher bandwidth per pin or both - Memory interface widths - Encryption keys CSE241A L17 Packaging.35 Kahng, UCSD 2003

36 System-in-Package Avoids costs of single-chip integration E.g., memory-logic E.g., RF-digital Better than individuallypackaged ICs Smaller, faster (closer) Less overall packaging Reduces system board complexity, area, layer count ECOs don t affect system board Plug-and-play insertion into multiple systems CSE241A L17 Packaging.36 Source: Amkor Kahng, UCSD 2003

37 Address CSELj CSELj_buf GIOLi BLi SA SA BLi+1 column predecoder data bus GIOLi+1 CSELi CSELi_buf Bootstrap WL driver column multiplixer column decoder Raw predecoder data bus circuit of PE-tree 3-8 decoder DSP+DRAM Integration in Boeing STAP Processor >100 GOPs/Watt DSP core being developed in DARPA MSP program. 26 parallel high-speed DRAM access, 26 discrete DRAM chips, > 1000 IOs. DRAM can not be embedded due to the cost and DRAM size required (> 150Mb). Performance limited by DRAM interface; DDR or QDR DRAM increases design complexity and difficulty of skew management Current solution duplicates DSP core, each copy accessing 13 DRAM chips (degrades performance 4X (2X area, 2X power) SiP solution integrates conventional DRAM and DSP core in a package. Area-IO architecture enables the DRAM to be customized to provide high bandwidth access. Package routability analysis Memory architecture optimization Design Methodology STAP Processor Custom DRAM SiP DRAM/Logic Integration Design Driver Thermal analysis and modeling GTX Framework DoD Applications Roadmap, Limits Internal IO performance analysis SiP power/ground structure CSE241A L17 Packaging.37 Source: W. Dai, UCSC Kahng, UCSD 2003

38 Chip-Laminate-Chip (CLC) SIP for Memory-Logic Integration Address problem of large-scale memorylogic integration, provide cost-effective alternative to embedded DRAM ARCHITECTURAL DOMAIN FUNCTIONAL DOMAIN Synthesis Flash up Fix missing link between architectural domain and physical domain DRAM Implementation Missing Spur innovation of memory architecture and IO circuit design PHYSICAL DOMAIN up Achieve high speed (>500MHz), high IO count (>1000) DRAM access Provide robust power/ground/clock distribution and adequate routing resource Flash DRAM Module can be seamlessly integrated into system as standard package Logic side Decoupling C Logic Memory side Laminate DRAM BGA ball CSE241A L17 Packaging.38 Source: W. Dai, UCSC Kahng, UCSD 2003

39 SIP Questions What is the most cost-effective implementation platform for memory and logic integration, embedded DRAM, SiP, or PCB? What are the trade-offs? What are the trends? What is the maximum bandwidth achievable by SiP? What is the maximum achievable IO speed in SiP? How to model IO performance in SiP? How should the IO be re-designed for the SiP electrical environment? How to integrate area IO into memory array? How to manage noise? How should the memory architecture be re-optimized when large amount of IOs are available? How to reduce the timing overhead in multiplexing? Can column decoder be removed? What is the routability issue of IO redistribution, how many routing layers are needed? What will be the optimal power/ground/clock structure on laminate, what is the IR drop and simultaneous switching noise? How to manage package level clock skew? How to integrate passive components? How much decoupling capacitance? Where to place it? How to model the junction temperature in SiP module? How to generate compact thermal model for multi-chip structure? How to standardize the SiP platform? What is the commercial path? CSE241A L17 Packaging.39 Source: W. Dai, UCSC Kahng, UCSD 2003

40 Chip-Package Co-Design Chip I/O Planner Product Concept IC Design Package Design PCB Design Die Size Physical Feasibility Study I/O Buffer Limits I/O Requirements I/O Layout I/O Model Electrical Feasibility Study I/O Template Definition Stack-up Definition Package Performance Analysis Interconnect Feasibility Timing & Coupling Margins Verification Package Modeling Signal Prediction B. Carlson, Cadence CSE241A L17 Packaging.40 Kahng, UCSD 2003

41 Chip-Package Codesign Issues Signal Assignment I/O pads of IC to balls of package Good analysis, assignment required for routability Power Distribution Adequate power supply to core IC logic and pad ring Multiple voltage levels and ground Assign power in corners to avoid IC routing congestion Package selection Package type, #signals, voltage levels, power distribution, electrical and thermal requirements IC to package fit analysis Physical die size of IC what if it grows? Wirebond length and angle constraints larger die size? Compatibility of signal and ball counts B. Carlson, Cadence CSE241A L17 Packaging.41 Kahng, UCSD 2003

42 Signal Simulation and Modeling 3D modeling of package/chip/board interconnect I/O buffer through chip, package and board I/O buffer provides well defined interface to IC Wirebonds Solder balls Any angle routing!/o buffer Package Substrate IC PCB B. Carlson, Cadence CSE241A L17 Packaging.42 Kahng, UCSD 2003

43 Integrated Timing Analysis: Affinity Logic to IO Before Bond pad I/O Cell D1 D2 CLK D3 D4 D5 Logic which drives the IO cell is scattered Manual placement of the affinity logic Real problem when one logic drives multiple IO cells. After Bond pad I/O Cell affinity D1 D2 CLK D3 D4 D5 Logic is placed close to the IO cell to meet user constraints Soft regioning of the Placement of the affinity logic CSE241A L17 Packaging.43 Kahng, UCSD 2003

44 Flip-Chip Cut Through Pentium Slot-1 1 = CPU core 2 = Coating 3 = Substrate 4 = Solder bumps C4 (IBM, 1964) 5 = Slot CSE241A L17 Packaging.44 Kahng, UCSD 2003

45 Flip-Chip Cut Through Celeron 533A FC-PGA 1 = CPU core 2 = Coating 3 = Substrate 4 = Solder bumps C4 (IBM, 1964) CSE241A L17 Packaging.45 Kahng, UCSD 2003

46 TSOP Thin Small Outline Package (TSOP) Most popular DRAM package Very cheap Wires bond to lead frame Bond pads sometimes at center of die Leaded surface mount Photo courtesy of Stanford CSE241A L17 Packaging.46 Kahng, UCSD 2003

47 BGA Ball Grid Array Most popular ASIC package Basically a small printed circuit board Multiple planes available in package Possible to route larger numbers of signals Better signal integrity Photo courtesy of Stanford CSE241A L17 Packaging.47 Kahng, UCSD 2003

48 Ball Grid Array Types Pin Counts Clock Frequency FC-BGA GHz TAB-BGA MHz EBGA FDH-BGA PBGA FBGA MHz MHz MHz MHz Slide courtesy of Fujitsu CSE241A L17 Packaging.48 Kahng, UCSD 2003

49 QFP Wire Bond package Leads are coplanar fanning into die Higher coupling Photo courtesy of Fujitsu CSE241A L17 Packaging.49 Kahng, UCSD 2003

50 ESD Models MOS device sensitivity to electrostatic discharge Gate oxides will fail if applied voltage is too high Junctions will burn out if currents are too high All devices need to contain some type of ESD protection Challenges = making sure device survives, keeping capacitance low enough for good I/O performance Stanford EE 271 CSE241A L17 Packaging.50 Kahng, UCSD 2003

Additional Slides for Lecture 17. EE 271 Lecture 17

Additional Slides for Lecture 17. EE 271 Lecture 17 Additional Slides for Lecture 17 Advantages/Disadvantages of Wire Bonding Pros Cost: cheapest packages use wire bonding Allows ready access to front side of die for probing Cons Relatively high inductance

More information

Calibrating Achievable Design GSRC Annual Review June 9, 2002

Calibrating Achievable Design GSRC Annual Review June 9, 2002 Calibrating Achievable Design GSRC Annual Review June 9, 2002 Wayne Dai, Andrew Kahng, Tsu-Jae King, Wojciech Maly,, Igor Markov, Herman Schmit, Dennis Sylvester DUSD(Labs) Calibrating Achievable Design

More information

Lecture 20: Package, Power, and I/O

Lecture 20: Package, Power, and I/O Introduction to CMOS VLSI Design Lecture 20: Package, Power, and I/O David Harris Harvey Mudd College Spring 2004 1 Outline Packaging Power Distribution I/O Synchronization Slide 2 2 Packages Package functions

More information

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis I NVENTIVE Physical Design Implementation for 3D IC Methodology and Tools Dave Noice Vassilios Gerousis Outline 3D IC Physical components Modeling 3D IC Stack Configuration Physical Design With TSV Summary

More information

Packaging Technology for Image-Processing LSI

Packaging Technology for Image-Processing LSI Packaging Technology for Image-Processing LSI Yoshiyuki Yoneda Kouichi Nakamura The main function of a semiconductor package is to reliably transmit electric signals from minute electrode pads formed on

More information

ECE 5745 Complex Digital ASIC Design Topic 7: Packaging, Power Distribution, Clocking, and I/O

ECE 5745 Complex Digital ASIC Design Topic 7: Packaging, Power Distribution, Clocking, and I/O ECE 5745 Complex Digital ASIC Design Topic 7: Packaging, Power Distribution, Clocking, and I/O Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5745

More information

Burn-in & Test Socket Workshop

Burn-in & Test Socket Workshop Burn-in & Test Socket Workshop IEEE March 4-7, 2001 Hilton Mesa Pavilion Hotel Mesa, Arizona IEEE COMPUTER SOCIETY Sponsored By The IEEE Computer Society Test Technology Technical Council COPYRIGHT NOTICE

More information

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008 Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008 / DEVICE 1.E+03 1.E+02 1.E+01 1.E+00 1.E-01 1.E-02 1.E-03 1.E-04 1.E-05 1.E-06 1.E-07 Productivity Gains

More information

Physical Implementation

Physical Implementation CS250 VLSI Systems Design Fall 2009 John Wawrzynek, Krste Asanovic, with John Lazzaro Physical Implementation Outline Standard cell back-end place and route tools make layout mostly automatic. However,

More information

SFC05-4 ChipClamp ΤΜ Flip Chip TVS Diode Array PRELIMINARY Features

SFC05-4 ChipClamp ΤΜ Flip Chip TVS Diode Array PRELIMINARY Features Description The SFC05-4 is a quad flip chip TVS array. They are state-of-the-art devices that utilize solid-state siliconavalanche technology for superior clamping performance and DC electrical characteristics.

More information

SFC ChipClamp ΤΜ Flip Chip TVS Diode with T-Filter PRELIMINARY Features

SFC ChipClamp ΤΜ Flip Chip TVS Diode with T-Filter PRELIMINARY Features Description The SFC2282-50 is a low pass T-filter with integrated TVS diodes. It is designed to provide bidirectional filtering of EMI/RFI signals and electrostatic discharge (ESD) protection in portable

More information

ASIC Physical Design Top-Level Chip Layout

ASIC Physical Design Top-Level Chip Layout ASIC Physical Design Top-Level Chip Layout References: M. Smith, Application Specific Integrated Circuits, Chap. 16 Cadence Virtuoso User Manual Top-level IC design process Typically done before individual

More information

Advanced CSP & Turnkey Solutions. Fumio Ohyama Tera Probe, Inc.

Advanced CSP & Turnkey Solutions. Fumio Ohyama Tera Probe, Inc. Advanced CSP & Turnkey Solutions Fumio Ohyama Tera Probe, Inc. Tera Probe - Corporate Overview 1. Company : Tera Probe, Inc. 2. Founded : August, 2005 3. Capital : Approx. USD118.2 million (as of March

More information

Topological Routing to Maximize Routability for Package Substrate

Topological Routing to Maximize Routability for Package Substrate Topological Routing to Maximize Routability for Package Substrate Speaker: Guoqiang Chen Authors: Shenghua Liu, Guoqiang Chen, Tom Tong Jing, Lei He, Tianpei Zhang, Robby Dutta, Xian-Long Hong Outline

More information

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 TABLE OF CONTENTS 1.0 PURPOSE... 1 2.0 INTRODUCTION... 1 3.0 ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 3.1 PRODUCT DEFINITION PHASE... 3 3.2 CHIP ARCHITECTURE PHASE... 4 3.3 MODULE AND FULL IC DESIGN PHASE...

More information

Package (1C) Young Won Lim 3/20/13

Package (1C) Young Won Lim 3/20/13 Copyright (c) 2011-2013 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

Package (1C) Young Won Lim 3/13/13

Package (1C) Young Won Lim 3/13/13 Copyright (c) 2011-2013 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

LQFP. Thermal Resistance. Body Size (mm) Pkg. 32 ld 7 x 7 5 x ld 7 x 7 5 x ld 14 x 14 8 x ld 20 x x 8.5

LQFP. Thermal Resistance. Body Size (mm) Pkg. 32 ld 7 x 7 5 x ld 7 x 7 5 x ld 14 x 14 8 x ld 20 x x 8.5 LQFP Low Profile Quad Flat Pack Packages (LQFP) Amkor offers a broad line of LQFP IC packages designed to provide the same great benefits as MQFP packaging with a 1.4 mm body thickness. These packages

More information

I N T E R C O N N E C T A P P L I C A T I O N N O T E. Z-PACK TinMan Connector Routing. Report # 27GC001-1 May 9 th, 2007 v1.0

I N T E R C O N N E C T A P P L I C A T I O N N O T E. Z-PACK TinMan Connector Routing. Report # 27GC001-1 May 9 th, 2007 v1.0 I N T E R C O N N E C T A P P L I C A T I O N N O T E Z-PACK TinMan Connector Routing Report # 27GC001-1 May 9 th, 2007 v1.0 Z-PACK TinMan Connectors Copyright 2007 Tyco Electronics Corporation, Harrisburg,

More information

Design and Assembly Process Implementation for BGAs

Design and Assembly Process Implementation for BGAs ASSOCIATION CONNECTING ELECTRONICS INDUSTRIES Design and Assembly Process Implementation for BGAs Developed by the Device Manufacturers Interface Committee of IPC October 25, 2000 Users of this standard

More information

3D & Advanced Packaging

3D & Advanced Packaging Tuesday, October 03, 2017 Company Overview March 12, 2015 3D & ADVANCED PACKAGING IS NOW WITHIN REACH WHAT IS NEXT LEVEL INTEGRATION? Next Level Integration blends high density packaging with advanced

More information

Over 5,000 products High Performance Adapters and Sockets Many Custom Designs Engineering Electrical and Mechanical ISO9001:2008 Registration

Over 5,000 products High Performance Adapters and Sockets Many Custom Designs Engineering Electrical and Mechanical ISO9001:2008 Registration Overview Company Overview Over 5,000 products High Performance Adapters and Sockets Many Custom Designs Engineering Electrical and Mechanical ISO9001:2008 Registration Adapter Technology Overview Pluggable

More information

I N T E R C O N N E C T A P P L I C A T I O N N O T E. STEP-Z Connector Routing. Report # 26GC001-1 February 20, 2006 v1.0

I N T E R C O N N E C T A P P L I C A T I O N N O T E. STEP-Z Connector Routing. Report # 26GC001-1 February 20, 2006 v1.0 I N T E R C O N N E C T A P P L I C A T I O N N O T E STEP-Z Connector Routing Report # 26GC001-1 February 20, 2006 v1.0 STEP-Z CONNECTOR FAMILY Copyright 2006 Tyco Electronics Corporation, Harrisburg,

More information

Adapter Technologies

Adapter Technologies Adapter Technologies Toll Free: (800) 404-0204 U.S. Only Tel: (952) 229-8200 Fax: (952) 229-8201 email: info@ironwoodelectronics.com Introduction Company Overview Over 5,000 products High Performance Adapters

More information

Stacked Silicon Interconnect Technology (SSIT)

Stacked Silicon Interconnect Technology (SSIT) Stacked Silicon Interconnect Technology (SSIT) Suresh Ramalingam Xilinx Inc. MEPTEC, January 12, 2011 Agenda Background and Motivation Stacked Silicon Interconnect Technology Summary Background and Motivation

More information

Innovative 3D Structures Utilizing Wafer Level Fan-Out Technology

Innovative 3D Structures Utilizing Wafer Level Fan-Out Technology Innovative 3D Structures Utilizing Wafer Level Fan-Out Technology JinYoung Khim #, Curtis Zwenger *, YoonJoo Khim #, SeWoong Cha #, SeungJae Lee #, JinHan Kim # # Amkor Technology Korea 280-8, 2-ga, Sungsu-dong,

More information

Introduction Overview Of Intel Packaging Technology

Introduction Overview Of Intel Packaging Technology 1 1.1 Overview Of Intel Packaging Technology As semiconductor devices become significantly more comple, electronics designers are challenged to fully harness their computing power. Transistor count in

More information

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration 1 EECS 598: Integrating Emerging Technologies with Computer Architecture Lecture 10: Three-Dimensional (3D) Integration Instructor: Ron Dreslinski Winter 2016 University of Michigan 1 1 1 Announcements

More information

Achieving GHz Speed in Socketed BGA Devices

Achieving GHz Speed in Socketed BGA Devices IC INTERCONNECT TOPIC #102 Technical Information from Ironwood Electronics Achieving GHz Speed in Socketed BGA Devices Ila Pal Director of R&D Ironwood Electronics Background For many products designed

More information

SMAFTI Package Technology Features Wide-Band and Large-Capacity Memory

SMAFTI Package Technology Features Wide-Band and Large-Capacity Memory SMAFTI Package Technology Features Wide-Band and Large-Capacity Memory KURITA Yoichiro, SOEJIMA Koji, KAWANO Masaya Abstract and NEC Corporation have jointly developed an ultra-compact system-in-package

More information

Board Design Guidelines for Intel Programmable Device Packages

Board Design Guidelines for Intel Programmable Device Packages Board Design Guidelines for Intel Programmable Device Packages AN-114 2017.02.24 Subscribe Send Feedback Contents Contents 1 Board Design Guidelines for Intel Programmable Device Packages...3 1.1 Overview

More information

DRAM Memory Modules Overview & Future Outlook. Bill Gervasi Vice President, DRAM Technology SimpleTech

DRAM Memory Modules Overview & Future Outlook. Bill Gervasi Vice President, DRAM Technology SimpleTech DRAM Memory Modules Overview & Future Outlook Bill Gervasi Vice President, DRAM Technology SimpleTech bilge@simpletech.com Many Applications, Many Configurations 2 Module Configurations DDR1 DDR2 Registered

More information

Chapter 1 Introduction of Electronic Packaging

Chapter 1 Introduction of Electronic Packaging Chapter 1 Introduction of Electronic Packaging 1 Introduction of Electronic Packaging 2 Why Need Package? IC Foundry Packaging house Module Sub-system Product 3 Concept of Electric Packaging 4 Moore s

More information

An integrated solution for KGD: At-speed wafer-level testing and full-contact wafer-level burn-in after flip chip bumping

An integrated solution for KGD: At-speed wafer-level testing and full-contact wafer-level burn-in after flip chip bumping An integrated solution for KGD: At-speed wafer-level testing and full-contact wafer-level burn-in after flip chip bumping Yuan-Ping Tseng/ An-Hong Liu TD center ChipMOS Technologies Inc. June 5, 2001 1

More information

ARCHIVE 2008 COPYRIGHT NOTICE

ARCHIVE 2008 COPYRIGHT NOTICE Keynote Speaker ARCHIVE 2008 Packaging & Assembly in Pursuit of Moore s Law and Beyond Karl Johnson Ph.D. Vice President and Senior Fellow Advanced Packaging Systems Integration Laboratory Freescale Semiconductor

More information

Akrometrix Testing Applications

Akrometrix Testing Applications Akrometrix Optical Techniques: Akrometrix Testing Applications Three full-field optical techniques, shadow moiré, digital image correlation (DIC), and fringe projection (performed by the DFP) are used

More information

Patented socketing system for the BGA/CSP technology

Patented socketing system for the BGA/CSP technology Patented socketing system for the BGA/CSP technology Features: ZIF handling & only 40 grams per contact after closing the socket Sockets adapt to all package styles (at present down to 0.40mm pitch): Ceramic

More information

2005 IBM Power and Cooling Technology Symposium. Advancements in Power Interconnect. Presenter: Don Wood Date: September 21, 2005

2005 IBM Power and Cooling Technology Symposium. Advancements in Power Interconnect. Presenter: Don Wood Date: September 21, 2005 2005 IBM Power and Cooling Technology Symposium Advancements in Power Interconnect Presenter: Don Wood Date: September 21, 2005 Overview This presentation examines the following power interconnect trends

More information

Using MLOs to Build Vertical Technology Space Transformers

Using MLOs to Build Vertical Technology Space Transformers Presentation to Southwest Test Workshop 2002 Using MLOs to Build Vertical Technology Space Transformers Bill Fulton and Bill Pardee Wentworth Laboratories Overview 1. Terminology 2. Benefits of MLOs vs

More information

Epigap FAQs Part packges and form factors typical LED packages

Epigap FAQs Part packges and form factors typical LED packages 3. packges and form factors 3.1. typical LED packages Radiation from LEDs is generated by a semiconductor chip mounted in a package. LEDs are available in a variety of designs significantly influencing

More information

Xilinx SSI Technology Concept to Silicon Development Overview

Xilinx SSI Technology Concept to Silicon Development Overview Xilinx SSI Technology Concept to Silicon Development Overview Shankar Lakka Aug 27 th, 2012 Agenda Economic Drivers and Technical Challenges Xilinx SSI Technology, Power, Performance SSI Development Overview

More information

IPC-D-859. Design Standard for Thick Film Multilayer Hybrid Circuits ANSI/IPC-D-859. The Institute for. Interconnecting

IPC-D-859. Design Standard for Thick Film Multilayer Hybrid Circuits ANSI/IPC-D-859. The Institute for. Interconnecting The Institute for Interconnecting and Packaging Electronic Circuits Design Standard for Thick Film Multilayer Hybrid Circuits ANSI/ Original Publication December 1989 A standard developed by the Institute

More information

Near Term Solutions for 3D Memory Stacking (DRAM) Wael Zohni, Invensas Corporation

Near Term Solutions for 3D Memory Stacking (DRAM) Wael Zohni, Invensas Corporation Near Term Solutions for 3D Memory Stacking (DRAM) Wael Zohni, Invensas Corporation 1 Contents DRAM Packaging Paradigm Dual-Face-Down (DFD) Package DFD-based 4R 8GB RDIMM Invensas xfd Technology Platform

More information

Thermal Management Challenges in Mobile Integrated Systems

Thermal Management Challenges in Mobile Integrated Systems Thermal Management Challenges in Mobile Integrated Systems Ilyas Mohammed March 18, 2013 SEMI-THERM Executive Briefing Thermal Management Market Visions & Strategies, San Jose CA Contents Mobile computing

More information

E-tec Socketing solutions for BGA, LGA, CGA, CSP, MLF & Gullwing chips

E-tec Socketing solutions for BGA, LGA, CGA, CSP, MLF & Gullwing chips E-tec Socketing solutions for BGA, LGA, CGA, CSP, MLF & Gullwing chips Available contact styles: Elastomer interposers (10 Ghz & more) Probe pin sockets (generally below 5 Ghz) Other interposer styles

More information

The Design of the KiloCore Chip

The Design of the KiloCore Chip The Design of the KiloCore Chip Aaron Stillmaker*, Brent Bohnenstiehl, Bevan Baas DAC 2017: Design Challenges of New Processor Architectures University of California, Davis VLSI Computation Laboratory

More information

TechSearch International, Inc.

TechSearch International, Inc. Alternatives on the Road to 3D TSV E. Jan Vardaman President TechSearch International, Inc. www.techsearchinc.com Everyone Wants to Have 3D ICs 3D IC solves interconnect delay problem bandwidth bottleneck

More information

High performance HBM Known Good Stack Testing

High performance HBM Known Good Stack Testing High performance HBM Known Good Stack Testing FormFactor Teradyne Overview High Bandwidth Memory (HBM) Market and Technology Probing challenges Probe solution Power distribution challenges PDN design Simulation

More information

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN 1 Introduction The evolution of integrated circuit (IC) fabrication techniques is a unique fact in the history of modern industry. The improvements in terms of speed, density and cost have kept constant

More information

Chip/Package/Board Interface Pathway Design and Optimization. Tom Whipple Product Engineering Architect November 2015

Chip/Package/Board Interface Pathway Design and Optimization. Tom Whipple Product Engineering Architect November 2015 Chip/Package/Board Interface Pathway Design and Optimization Tom Whipple Product Engineering Architect November 2015 Chip/package/board interface pathway design and optimization PCB design with Allegro

More information

TSV Test. Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea

TSV Test. Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea TSV Test Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea # Agenda TSV Test Issues Reliability and Burn-in High Frequency Test at Probe (HFTAP) TSV Probing Issues DFT Opportunities

More information

10. Interconnects in CMOS Technology

10. Interconnects in CMOS Technology 10. Interconnects in CMOS Technology 1 10. Interconnects in CMOS Technology Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 October

More information

Chip/Package/Board Design Flow

Chip/Package/Board Design Flow Chip/Package/Board Design Flow EM Simulation Advances in ADS 2011.10 1 EM Simulation Advances in ADS2011.10 Agilent EEsof Chip/Package/Board Design Flow 2 RF Chip/Package/Board Design Industry Trends Increasing

More information

ProASIC PLUS SSO and Pin Placement Guidelines

ProASIC PLUS SSO and Pin Placement Guidelines Application Note AC264 ProASIC PLUS SSO and Pin Placement Guidelines Table of Contents Introduction................................................ 1 SSO Data.................................................

More information

CS310 Embedded Computer Systems. Maeng

CS310 Embedded Computer Systems. Maeng 1 INTRODUCTION (PART II) Maeng Three key embedded system technologies 2 Technology A manner of accomplishing a task, especially using technical processes, methods, or knowledge Three key technologies for

More information

TechSearch International, Inc.

TechSearch International, Inc. Silicon Interposers: Ghost of the Past or a New Opportunity? Linda C. Matthew TechSearch International, Inc. www.techsearchinc.com Outline History of Silicon Carriers Thin film on silicon examples Multichip

More information

Skill Development Centre by AN ISO CERTIFIED COMPANY

Skill Development Centre by AN ISO CERTIFIED COMPANY Skill Development Centre by AN ISO CERTIFIED COMPANY Industrial Automation Training Embedded/ VLSI system design Electrical control panel Design Product Development Fiber optics Technician Electrician

More information

Toshiba Case Study RF Module Shrink (TransferJet TM )

Toshiba Case Study RF Module Shrink (TransferJet TM ) Toshiba Case Study RF Module Shrink (TransferJet TM ) These slides are an abridgement of two presentations given by Toshiba at the Zuken Innovation World (ZIW) conference held in Japan in 2012 and 2013.

More information

Packaging Challenges for High Performance Mixed Signal Products. Caroline Beelen-Hendrikx, Eef Bagerman Semi Networking Day Porto, June 27, 2013

Packaging Challenges for High Performance Mixed Signal Products. Caroline Beelen-Hendrikx, Eef Bagerman Semi Networking Day Porto, June 27, 2013 Packaging Challenges for High Performance Mixed Signal Products Caroline Beelen-Hendrikx, Eef Bagerman Semi Networking Day Porto, June 27, 2013 Content HPMS introduction Assembly technology drivers for

More information

IMEC CORE CMOS P. MARCHAL

IMEC CORE CMOS P. MARCHAL APPLICATIONS & 3D TECHNOLOGY IMEC CORE CMOS P. MARCHAL OUTLINE What is important to spec 3D technology How to set specs for the different applications - Mobile consumer - Memory - High performance Conclusions

More information

NXP s innovative GX packages: Saving space, reducing cost

NXP s innovative GX packages: Saving space, reducing cost NXP s innovative GX packages: Saving space, reducing cost Discrete logic is certainly not new, and NXP recognizes its enduring importance for today s applications. That s why we continue to innovate. With

More information

Advanced Packaging For Mobile and Growth Products

Advanced Packaging For Mobile and Growth Products Advanced Packaging For Mobile and Growth Products Steve Anderson, Senior Director Product and Technology Marketing, STATS ChipPAC Growing Needs for Silicon & Package Integration Packaging Trend Implication

More information

Symbol Parameter Min Typ Max VDD_CORE Core power 0.9V 1.0V 1. 1V. VDD33 JTAG/FLASH power 2.97V 3.3V 3.63V

Symbol Parameter Min Typ Max VDD_CORE Core power 0.9V 1.0V 1. 1V. VDD33 JTAG/FLASH power 2.97V 3.3V 3.63V 1 Introduction The user guide provides guidelines on how to help you successfully design the CME-M7 board which includes the power supply, configuration, clock, DDR2 or DDR3, high speed USB, LVDS and ADC

More information

Packaging Solutions. Advanced Packaging Solutions for High-Density PLDs

Packaging Solutions. Advanced Packaging Solutions for High-Density PLDs Packaging Solutions Advanced Packaging Solutions for High-Density PLDs June 1998 package options pin compatibility design flexibility Advanced Packaging Solutions FineLine BGA vertical migration space

More information

Packaging Innovation for our Application Driven World

Packaging Innovation for our Application Driven World Packaging Innovation for our Application Driven World Rich Rice ASE Group March 14 th, 2018 MEPTEC / IMAPS Luncheon Series 1 What We ll Cover Semiconductor Roadmap Drivers Package Development Thrusts Collaboration

More information

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process 2.1 Introduction Standard CMOS technologies have been increasingly used in RF IC applications mainly

More information

A Study of IR-drop Noise Issues in 3D ICs with Through-Silicon-Vias

A Study of IR-drop Noise Issues in 3D ICs with Through-Silicon-Vias A Study of IR-drop Noise Issues in 3D ICs with Through-Silicon-Vias Moongon Jung and Sung Kyu Lim School of Electrical and Computer Engineering Georgia Institute of Technology, Atlanta, Georgia, USA Email:

More information

SYSTEM LEVEL ESD - BEYOND THE COMPONENT LEVEL IC PROTECTION CHARVAKA DUVVURY

SYSTEM LEVEL ESD - BEYOND THE COMPONENT LEVEL IC PROTECTION CHARVAKA DUVVURY SYSTEM LEVEL ESD - BEYOND THE COMPONENT LEVEL IC PROTECTION CHARVAKA DUVVURY 1 1 Outline Impact from Advanced Technologies and High Speed Circuit Designs on Component Level ESD System Level ESD and the

More information

Integrating ADS into a High Speed Package Design Process

Integrating ADS into a High Speed Package Design Process Integrating ADS into a High Speed Package Design Process Page 1 Group/Presentation Title Agilent Restricted Month ##, 200X Agenda High Speed SERDES Package Design Requirements Performance Factor and Design

More information

Routability-Driven Bump Assignment for Chip-Package Co-Design

Routability-Driven Bump Assignment for Chip-Package Co-Design 1 Routability-Driven Bump Assignment for Chip-Package Co-Design Presenter: Hung-Ming Chen Outline 2 Introduction Motivation Previous works Our contributions Preliminary Problem formulation Bump assignment

More information

Material technology enhances the density and the productivity of the package

Material technology enhances the density and the productivity of the package Material technology enhances the density and the productivity of the package May 31, 2018 Toshihisa Nonaka, Ph D. Packaging Solution Center Advanced Performance Materials Business Headquarter Hitachi Chemical

More information

C Allegro Package Designer Flows

C Allegro Package Designer Flows 1 Allegro User Guide: Getting Started with Physical Design Product Version 16.6 October 2012 C Allegro Package Designer Flows This appendix presents design flows that illustrate the use of the Allegro

More information

Application Note AN-289

Application Note AN-289 BGA 256-pin Routing Application Note AN-289,QWURGXFWLRQ By Paul Snell and John Afonasiev IDT uses the 256 PBGA package for several of its products. Although creating an optimal layout with a PBGA package

More information

Thermal Sign-Off Analysis for Advanced 3D IC Integration

Thermal Sign-Off Analysis for Advanced 3D IC Integration Sign-Off Analysis for Advanced 3D IC Integration Dr. John Parry, CEng. Senior Industry Manager Mechanical Analysis Division May 27, 2018 Topics n Acknowledgements n Challenges n Issues with Existing Solutions

More information

Packaging for parallel optical interconnects with on-chip optical access

Packaging for parallel optical interconnects with on-chip optical access Packaging for parallel optical interconnects with on-chip optical access I. INTRODUCTION Parallel optical interconnects requires the integration of lasers and detectors directly on the CMOS chip. In the

More information

Technical Note. Design Considerations when using NOR Flash on PCBs. Introduction and Definitions

Technical Note. Design Considerations when using NOR Flash on PCBs. Introduction and Definitions Technical Note Design Considerations when using NOR Flash on PCBs Introduction and Definitions TN-13-30: NOR Flash Memory: PCB Design Considerations Introduction and Definitions Table 1: Definitions Term

More information

SCA620-EF1V1B SINGLE AXIS ACCELEROMETER WITH ANALOG INTERFACE

SCA620-EF1V1B SINGLE AXIS ACCELEROMETER WITH ANALOG INTERFACE Datasheet SCA620-EF1V1B SINGLE AXIS ACCELEROMETER WITH ANALOG INTERFACE The SCA620 accelerometer consists of a silicon bulk micro machined sensing element chip and a signal conditioning ASIC. The chips

More information

Part 1 of 3 -Understand the hardware components of computer systems

Part 1 of 3 -Understand the hardware components of computer systems Part 1 of 3 -Understand the hardware components of computer systems The main circuit board, the motherboard provides the base to which a number of other hardware devices are connected. Devices that connect

More information

! Memory Overview. ! ROM Memories. ! RAM Memory " SRAM " DRAM. ! This is done because we can build. " large, slow memories OR

! Memory Overview. ! ROM Memories. ! RAM Memory  SRAM  DRAM. ! This is done because we can build.  large, slow memories OR ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec 2: April 5, 26 Memory Overview, Memory Core Cells Lecture Outline! Memory Overview! ROM Memories! RAM Memory " SRAM " DRAM 2 Memory Overview

More information

Vertical Circuits. Small Footprint Stacked Die Package and HVM Supply Chain Readiness. November 10, Marc Robinson Vertical Circuits, Inc

Vertical Circuits. Small Footprint Stacked Die Package and HVM Supply Chain Readiness. November 10, Marc Robinson Vertical Circuits, Inc Small Footprint Stacked Die Package and HVM Supply Chain Readiness Marc Robinson Vertical Circuits, Inc November 10, 2011 Vertical Circuits Building Blocks for 3D Interconnects Infrastructure Readiness

More information

Lecture 14: Prototyping and Schematics

Lecture 14: Prototyping and Schematics Lecture 14: Prototyping and Schematics Breadboards have some limitations They have high parasitic inductance and capacitance, limiting high frequency signal transfer to about 50MHz. Wire connections

More information

Selecting Sockets. Introduction. Mechanical Considerations for J-Lead Sockets. for Altera Devices

Selecting Sockets. Introduction. Mechanical Considerations for J-Lead Sockets. for Altera Devices Selecting Sockets for Altera Devices January 1998, ver. 2 Application Note 80 Introduction Altera offers a number of surface-mount packages. Surface-mount assembly places unique demands on the development

More information

Bringing 3D Integration to Packaging Mainstream

Bringing 3D Integration to Packaging Mainstream Bringing 3D Integration to Packaging Mainstream Enabling a Microelectronic World MEPTEC Nov 2012 Choon Lee Technology HQ, Amkor Highlighted TSV in Packaging TSMC reveals plan for 3DIC design based on silicon

More information

Company Overview March 12, Company Overview. Tuesday, October 03, 2017

Company Overview March 12, Company Overview. Tuesday, October 03, 2017 Company Overview Tuesday, October 03, 2017 HISTORY 1987 2001 2008 2016 Company started to design and manufacture low-cost, highperformance IC packages. Focus on using advanced organic substrates to reduce

More information

CAD for VLSI. Debdeep Mukhopadhyay IIT Madras

CAD for VLSI. Debdeep Mukhopadhyay IIT Madras CAD for VLSI Debdeep Mukhopadhyay IIT Madras Tentative Syllabus Overall perspective of VLSI Design MOS switch and CMOS, MOS based logic design, the CMOS logic styles, Pass Transistors Introduction to Verilog

More information

Constraint Driven I/O Planning and Placement for Chip-package Co-design

Constraint Driven I/O Planning and Placement for Chip-package Co-design Constraint Driven I/O Planning and Placement for Chip-package Co-design Jinjun Xiong, Yiuchung Wong, Egino Sarto, Lei He University of California, Los Angeles Rio Design Automation, Inc. Agenda Motivation

More information

High Performance Memory in FPGAs

High Performance Memory in FPGAs High Performance Memory in FPGAs Industry Trends and Customer Challenges Packet Processing & Transport > 400G OTN Software Defined Networks Video Over IP Network Function Virtualization Wireless LTE Advanced

More information

Vertical Conductive Structures

Vertical Conductive Structures Vertical Conductive Structures A new Interconnect Technique Agenda The need for an alternative PCB technology Introduction of VeCS Technology comparison Cost comparison State of VeCS technology Application

More information

Chip-Package-Board Co-Design / Co-Verification Technology for DDR3 1.6G in Consumer Products

Chip-Package-Board Co-Design / Co-Verification Technology for DDR3 1.6G in Consumer Products Chip-Package-Board Co-Design / Co-Verification Technology for DDR3 1.6G in Consumer Products Ji Zheng Director, Chip Package System Apache Design Solutions 2011 ASP-DAC Designer s Forum January 27, 2011

More information

Reduce Your System Power Consumption with Altera FPGAs Altera Corporation Public

Reduce Your System Power Consumption with Altera FPGAs Altera Corporation Public Reduce Your System Power Consumption with Altera FPGAs Agenda Benefits of lower power in systems Stratix III power technology Cyclone III power Quartus II power optimization and estimation tools Summary

More information

Assembly Considerations for Linear Technology Module TM BGA Packages. July

Assembly Considerations for Linear Technology Module TM BGA Packages. July Assembly Considerations for Linear Technology Module TM BGA Packages July 2012 Package Construction PCB Design Guidelines Outline Moisture Sensitivity, Pack, Ship & Bake Board Assembly Process Screen Print

More information

Design is neither a form alone or function alone, it is aesthetic synthesis of the both. Ferdinand Porsche

Design is neither a form alone or function alone, it is aesthetic synthesis of the both. Ferdinand Porsche Chapter 2 FLOORPLANNING Design is neither a form alone or function alone, it is aesthetic synthesis of the both. Ferdinand Porsche Floorplanning is the art of any physical design. A well thought-out floorplan

More information

Electromagnetic Compatibility ( EMC )

Electromagnetic Compatibility ( EMC ) Electromagnetic Compatibility ( EMC ) ESD Strategies in IC and System Design 8-1 Agenda ESD Design in IC Level ( ) Design Guide Lines CMOS Design Process Level Method Circuit Level Method Whole Chip Design

More information

ECE 637 Integrated VLSI Circuits. Introduction. Introduction EE141

ECE 637 Integrated VLSI Circuits. Introduction. Introduction EE141 ECE 637 Integrated VLSI Circuits Introduction EE141 1 Introduction Course Details Instructor Mohab Anis; manis@vlsi.uwaterloo.ca Text Digital Integrated Circuits, Jan Rabaey, Prentice Hall, 2 nd edition

More information

Multi-Die Packaging How Ready Are We?

Multi-Die Packaging How Ready Are We? Multi-Die Packaging How Ready Are We? Rich Rice ASE Group April 23 rd, 2015 Agenda ASE Brief Integration Drivers Multi-Chip Packaging 2.5D / 3D / SiP / SiM Design / Co-Design Challenges: an OSAT Perspective

More information

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Interconnect Challenges in a Many Core Compute Environment Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Agenda Microprocessor general trends Implications Tradeoffs Summary

More information

TechSearch International, Inc.

TechSearch International, Inc. Packaging and Assembly for Wearable Electronics Timothy G. Lenihan, Ph.D. Senior Analyst TechSearch International, Inc. www.techsearchinc.com What s Wearable Electronics? Wearable electronics not clearly

More information

The Gold Standard for Parasitic Extraction and Signal Integrity Solutions

The Gold Standard for Parasitic Extraction and Signal Integrity Solutions The Gold Standard for Parasitic Extraction and Signal Integrity Solutions Critical Net Extraction and Analysis Full 3D seamless field solution High accuracy extraction Extracts net, tree, or entire path

More information

This presentation will..

This presentation will.. Component Identification: Digital Introduction to Logic Gates and Integrated Circuits Digital Electronics 2014 This presentation will.. Introduce transistors, logic gates, integrated circuits (ICs), and

More information

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape Edition April 2017 Semiconductor technology & processing 3D systems-on-chip A clever partitioning of circuits to improve area, cost, power and performance. In recent years, the technology of 3D integration

More information