Network on Chip round table European Space Agency, ESTEC Noordwijk / The Netherlands 17 th and 18 th of September 2009

Size: px
Start display at page:

Download "Network on Chip round table European Space Agency, ESTEC Noordwijk / The Netherlands 17 th and 18 th of September 2009"

Transcription

1 Network on Chip round table European Space Agency, ESTEC Noordwijk / The Netherlands 17 th and 18 th of September 2009 Ph. Armbruster Head of Data Systems Division European Space Agency - ESTEC 17 th of September 2009 NoC round table 1

2 The European Space Research and Technology Centre (ESTEC) 17 th of September 2009 NoC round table 2

3 17 th of September 2009 NoC round table 3

4 Overall goals : Avionics The Avionics sub-system corresponds to about 60% on the overall development costs for a satellite. Therefore, ESA is paying special attention to means allowing to decrease these costs by: Defining reference architectures, e.g. common to a series of missions, for instance Earth Observation, Science and Exploration missions Standardising interfaces, developing communication services and protocols Fostering re-use of building blocks Developing tools and methodologies for composing a system from already validated building blocks. 17 th of September 2009 NoC round table 4

5 System Services PUS library/ TMTC OBT Mgmt Context Mgmt SSMM Mgmt OBCP interpreter MTL services Equipment Mgmt Libraries: Math, Security, Payload, Software bus Application BB Plan/ Autonomy Framework Mission TL/ Mode mgmt Central FDIR AOCS Thermal Power P/L Manager Reference Architecture and Building Blocks Space Avionics Open Interface Architecture (SAVOIR) Devices Execution framework SOIS Application Suppport Layer Middleware services RTOS Standardized devices Sensors (Star Trackers, Sun sensors, Gyros, Earth sensors, magnetometers) Actuators (Reaction wheels, magneto torquers, thrusters, etc) Legacy devices Payloads & Instruments High Speed Telemetry Encryption Storage Compression TM/TC Security Unit SSMM Solid State Mass Memory File Mgt Compress Encrypt SOIS Layers OBC Hardware CAN MIL-1553 Subnetwork Layer HDSW RS422 SpW CPU/ NGmP RAM EEPROM BSP Boot PROM OBTimer SGM HW watchdog RTU/ Intelligent IO SOIS Layers ADCs / DACs Digital Sensorbus Standardized devices Sensor and actuators SOIS Layers Networks Payload Data Processing DSP Payload Control Computer SOIS Layers Onboard Communications H/W (e.g. MIL-STD-1553B, SpaceWire, CAN RS422) 17 th of September 2009 NoC round table 5

6 Reference Architectures: Modular Data Systems Already in the 90s, it appeared clearly that a generic interface would be very useful on-board spacecraft, in order to ease the interconnection of: Sensors Mass-memories Processing units, and Downlink telemetry sub-systems. High speed Serial links in contrast to parallel interfaces have been selected due to their potential of constituting an homogeneous solution to interconnect components, board and units. They allowed as well to define a reference architecture with native modularity and scalability. 17 th of September 2009 NoC round table 6

7 Reference Architectures: Modular Payload Data Handling System Architecture used by ESA as a reference for medium-range Data Handling Systems and the definition SpaceWire devices (SpW Router, SpW RTC, SMCS-SpW, ) Modules based on Hi-Rel components Modules based on COTS Optional Module Instrument Memory Module Memory banks Context Saving Memory Memory banks Data Compression Module Instrument HIVAC I/O Module SpW Router SpW Router Telemetry Formatter /Encryption Module Transmitter CCD/APS Camera RTC Control Processor module Dedicated Processor module DSP Processor module CAN bus Complex Instrument Instrument optional DPU Spacecraft control bus SpaceWire links Control bus/line 17 th of September 2009 NoC round table 7

8 Backplane PCB - front 17 th of September 2009 NoC round table 8

9 Backplane PCB - back 17 th of September 2009 NoC round table 9

10 Challenges of space VLSIs Make best use of DSM technologies, providing Higher speed Allow to integrate complex systems on one chip Bound development times Trend towards multi-year (2/3 5/7) development periods Define and validate new development paradigms SoCs based on IP cores Foster reuse of existing designs Architectural design and HW/SW co-design. While keeping reliability and availability figures highest 17 th of September 2009 NoC round table 10

11 Challenges of space SoCs SoC-ASIC developments: complex design flow ASIC FOUNDRY Wafer tests, die probe Masks, Si wafers manufacture Foundry Proto tests Dicing, packaging Tapeout Database bases Customer Proto tests System requirements Tested ASIC prototypes ASIC specs Feasibility, development plan More foundry feasibility Architectural design VHDL coding Functional simulations FPGA verification Synthesis => ASIC gates ASIC DESIGN HOUSE Post-layout Verification: simulations Structured Test func, time layout 17 th (scan, bist, JTAG) of September 2009 NoC round table 11

On-Board Control Procedures: Autonomous and Updateable Spacecraft Operator Onboard and Beyond

On-Board Control Procedures: Autonomous and Updateable Spacecraft Operator Onboard and Beyond On-Board Control Procedures: Autonomous and Updateable Spacecraft Operator Onboard and Beyond Marek Prochazka / Kjeld Hjortnaes European Space Agency, ESTEC, Software Systems Division. FSW-10, Pasadena

More information

On-Board Data Systems

On-Board Data Systems On-Board Data Systems Ph. Armbruster TEC-ED Email: philippe.armbruster@esa.int Slide : 1 Avionics for multi-mission platforms, hard Facts Avionics take an important share of the platform cost Many building

More information

The SpaceWire RTC: Remote Terminal Controller

The SpaceWire RTC: Remote Terminal Controller SpaceWire-SnP Working Group ESTEC, Sept 15 th, 2004 The : Remote Terminal Controller Data Systems Division luca.tunesi@esa.int ESTEC, Sept 15 th, 2004 slide: 1 Background: the OPDPS Low/Med. Speed Bus:CAN

More information

Advanced Concepts and Components for adaptive Avionics

Advanced Concepts and Components for adaptive Avionics Advanced Concepts and Components for adaptive Avionics Ph. Armbruster Head of Data Systems Division ESTEC 03/03/2016 AVIONICS : Cost reduction as a challenge AVIONICS include: Data Handling TM/TC Attitude

More information

SpaceWire 101 Seminar MAPLD 2006 SpaceWire origins and purpose From IEEE 1355 to ECSS-E-50-12A

SpaceWire 101 Seminar MAPLD 2006 SpaceWire origins and purpose From IEEE 1355 to ECSS-E-50-12A SpaceWire 101 Seminar MAPLD 2006 SpaceWire origins and purpose From IEEE 1355 to ECSS-E-50-12A Ph. Armbruster TEC-ED Head of Data Systems Division ESA/ESTEC Slide : 1 Contents A little bit of History Transputers

More information

System Approach for a SpaceWire Network Template reference : C-EN

System Approach for a SpaceWire Network Template reference : C-EN System Approach for a SpaceWire Network Template reference : 100181700C-EN Prepared by Stephane DETHEVE / Bruno MASSON PLAN Page 2 SYSTEM APPROACH FOR A SPACEWIRE NETWORK INTRODUCTION SIMULATION BREADBOARDING

More information

LEVERAGING SERIAL DIGITAL INTERFACES STANDARDIZATION: THE RASTA REFERENCE ARCHITECTURE FACILITY AT ESA

LEVERAGING SERIAL DIGITAL INTERFACES STANDARDIZATION: THE RASTA REFERENCE ARCHITECTURE FACILITY AT ESA LEVERAGING SERIAL DIGITAL INTERFACES STANDARDIZATION: THE RASTA REFERENCE ARCHITECTURE FACILITY AT ESA Session: Spacewire onboard equipment and software Short Paper Aitor Viana Sanchez, Gianluca Furano,

More information

TAS RTU PRODUCTS. ADCSS 2015 Noordwijk. Thales Alenia Space 23/10/2015. Ref.: DOC-TAS-EN-001

TAS RTU PRODUCTS. ADCSS 2015 Noordwijk. Thales Alenia Space 23/10/2015. Ref.: DOC-TAS-EN-001 TAS RTU PRODUCTS ADCSS 2015 Noordwijk 83230356-DOC-TAS-EN-001 TAS PRODUCTS & DEVELOPMENT 83230356-DOC-TAS-EN-001 TAS-BELGIUM HIGH POWER AVIONICS Development programme underway under ARTES R&D Modular PLDIU

More information

SpaceWire Remote Memory Access Protocol

SpaceWire Remote Memory Access Protocol SpaceWire Remote Memory Access Protocol Steve Parkes and Chris McClements University of Dundee, Applied Computing, Dundee, DD1 4HN, Scotland, UK. sparkes@computing.dundee.ac.uk,, cmclements@computing.dundee.ac.uk.

More information

SpaceWire Remote Terminal Controller

SpaceWire Remote Terminal Controller Remote Terminal Controller Presented by Jørgen Ilstad On board Payload Data Section, ESTEC Wahida Gasti, ESA ESTEC Co Authors Sandi Habinc, Gaisler Research Peter Sinander, SAAB Space Slide : 1 Overview

More information

Operability and Modularity concepts of future RTUs/RIUs

Operability and Modularity concepts of future RTUs/RIUs Operability and Modularity concepts of future RTUs/RIUs ADCSS2015 Day 3 Thursday 22 October 2015 What is a RTU? The Remote Terminal Unit (RTU) is an Avionics equipment that provides functions such as:

More information

Multi-DSP/Micro-Processor Architecture (MDPA)

Multi-DSP/Micro-Processor Architecture (MDPA) Multi-DSP/Micro-Processor Architecture (MDPA) Microelectronics Presentation Days 2010 30 March 2010, ESA/ESTEC, Noordwijk T. Helfers; E. Lembke; P. Rastetter; O. Ried Astrium GmbH Content Motivation MDPA

More information

A Reference Architecture for Payload Reusable Software (RAPRS)

A Reference Architecture for Payload Reusable Software (RAPRS) SAND2011-7588 C A Reference Architecture for Payload Reusable Software (RAPRS) 2011 Workshop on Spacecraft Flight Software Richard D. Hunt Sandia National Laboratories P.O. Box 5800 M/S 0513 Albuquerque,

More information

ESA IPs & SoCs developments

ESA IPs & SoCs developments ESA IPs & SoCs developments Picture courtesy of: Lightwave esearch Laboratory Columbia University NY 1 ESA IP cores portfolio Processor Leon2 FT Fault tolerant Sparc V8 architecture Data handling Interfaces

More information

HIGH PERFORMANCE ELECTRONICS FOR THE NEW SPACE AGE

HIGH PERFORMANCE ELECTRONICS FOR THE NEW SPACE AGE APP18-01c APPLICATION NOTE NOVO SPACE HIGH PERFORMANCE ELECTRONICS FOR THE NEW SPACE AGE INTRODUCTION Most subsystem can be partially or fully implemented using one or more of Novo s components. This document

More information

Dynamically Reconfigurable Processing Module for Future Space Applications

Dynamically Reconfigurable Processing Module for Future Space Applications Dynamically Reconfigurable Processing Module for Future Space Applications Giuseppe Montano, Paul Norridge, Wayne Sullivan, Chris Topping, Alex Wishart Astrium Limited, Gunnels Wood Road, Stevenage, SG1

More information

Proposed SOIS Plug-and-Play Architecture and Resulting Requirements on SpaceWire Mapping

Proposed SOIS Plug-and-Play Architecture and Resulting Requirements on SpaceWire Mapping Distributed, Real- Time, Embedded Systems Proposed SOIS Plug-and-Play Architecture and Resulting Requirements on SpaceWire Mapping, Dundee, UK Presented by: Stuart D Fowell www.scisys.co.uk Overview Existing

More information

ESA Supported General Purpose Standard Microprocessors

ESA Supported General Purpose Standard Microprocessors ESA Supported General Purpose Standard Microprocessors MPSA Roundtable on Microprocessors for Space Applications ESTEC, 04 November 2009 Roland Weigand European Space Agency Roland.Weigand[at]esa.int (1)

More information

Fifth SpaceWire WG Meeting SpaceWire based On-Board-Computer

Fifth SpaceWire WG Meeting SpaceWire based On-Board-Computer Fifth SpaceWire WG Meeting 15. - 17.11.2005 SpaceWire based On-Board-Computer On Board Computer Concept Page 2 OBC Concept -Aims- Based on ERC32 32-bit processor cores Fully redundant Each function provided

More information

EagleEye TSP Porting to HWIL Configuration (RTB)

EagleEye TSP Porting to HWIL Configuration (RTB) EagleEye TSP Porting to HWIL Configuration (RTB) Final project presentation 12.12.2017 Presenter: Dharma Teja Srungavruksham Overview_ Background Team Goals Execution Results Future Background_ EagleEye

More information

Multi-DSP/Micro-Processor Architecture (MDPA) Paul Rastetter Astrium GmbH

Multi-DSP/Micro-Processor Architecture (MDPA) Paul Rastetter Astrium GmbH Multi-DSP/Micro-Processor Architecture (MDPA) Paul Rastetter Astrium GmbH Astrium ASE2 MDPA for New Generation Processor (NGP) Payload Control Processor MDPA (Multi-DSP/ µprocessor Architecture) features:

More information

A Software-based Environment for Development & Validation of Spacecraft On-board Software

A Software-based Environment for Development & Validation of Spacecraft On-board Software A Software-based Environment for Development & Validation of Spacecraft On-board Software Alessio Di Capua (1), Sante Candia (1), Tomas Di Cocco (1), Marco Anania (2) (1) Thales Alenia Space Italia Via

More information

The SOIS Plug-and-Play Architecture and Its Proposed Mapping onto SpaceWire

The SOIS Plug-and-Play Architecture and Its Proposed Mapping onto SpaceWire Distributed, Real- Time, Embedded Systems The SOIS Plug-and-Play Architecture and Its Proposed Mapping onto SpaceWire S.D. Fowell, SciSys Ltd, UK Presented by: Stuart D Fowell www.scisys.co.uk Overview

More information

ENHANCED DYNAMIC RECONFIGURABLE PROCESSING MODULE FOR FUTURE SPACE APPLICATIONS

ENHANCED DYNAMIC RECONFIGURABLE PROCESSING MODULE FOR FUTURE SPACE APPLICATIONS Enhanced Dynamic Reconfigurable Processing Module for Future Space Applications ENHANCED DYNAMIC RECONFIGURABLE PROCESSING MODULE FOR FUTURE SPACE APPLICATIONS Session: SpaceWire Missions and Applications

More information

Mass Memory Storage and the use of Commercial Off the Shelf (COTS) EEE Components.

Mass Memory Storage and the use of Commercial Off the Shelf (COTS) EEE Components. Workshop on High End Digital Processing Technologies and EEE Components for Future Space Missions Mass Memory Storage and the use of Commercial Off the Shelf (COTS) EEE Components. A. Zadeh, J. Ilstad,

More information

1 COMMAND AND DATA HANDLING (C&DH)

1 COMMAND AND DATA HANDLING (C&DH) 1 COMMAND AND DATA HANDLING (C&DH) 1.1 Requirements and Design Drivers 1.1.1 Functional The command and data handling shall provide the capability to: Transfer information in digital or discrete representation

More information

September 16, ESA/ESTEC - Data Systems Division. Low Speed Multidrop Busses - New. Architectures Enabled by All-Digital Low Speed

September 16, ESA/ESTEC - Data Systems Division. Low Speed Multidrop Busses - New. Architectures Enabled by All-Digital Low Speed Bus Bus /ESTEC - Data Systems Division September 16, 2008 1 / 16 Bus Bus 1 2 Bus The architecture Where do we already use digital busses The Transducer Bus What do we gain? 3 It is not just chat 4 2 /

More information

Rad-Hard Microcontroller For Space Applications

Rad-Hard Microcontroller For Space Applications The most important thing we build is trust ADVANCED ELECTRONIC SOLUTIONS AVIATION SERVICES COMMUNICATIONS AND CONNECTIVITY MISSION SYSTEMS Rad-Hard Microcontroller For Space Applications Fredrik Johansson

More information

GR712RC A MULTI-PROCESSOR DEVICE WITH SPACEWIRE INTERFACES

GR712RC A MULTI-PROCESSOR DEVICE WITH SPACEWIRE INTERFACES GR712RC A MULTI-PROCESSOR DEVICE WITH SPACEWIRE INTERFACES Session: SpaceWire Components Short Paper Sandi Habinc, Jiri Gaisler Aeroflex Gaisler, Kungsgatan 12, SE-411 19 Göteborg, Sweden sandi@gaisler.com

More information

ESA round table. September L. Goulard PY. Bretécher

ESA round table. September L. Goulard PY. Bretécher Next generation processors for space ESA round table September 2006 L. Goulard PY. Bretécher Agenda Brief history of processors used at Sodern On going developments AT697E evaluation Requirement review

More information

Flight Computer: Managing the Complexity

Flight Computer: Managing the Complexity Flight Computer: Managing the Complexity L.Bouchpan-Lerust-Juery ESTEC/TEC-SWS:lionel.bouchpan-lerust-juery@esa.int W.Gasti ESTEC/TEC-ED:wahida.gasti@esa.int 1 Agenda Introduction Computer of Previous

More information

ATMEL SPACEWIRE PRODUCTS FAMILY

ATMEL SPACEWIRE PRODUCTS FAMILY ATMEL SPACEWIRE PRODUCTS FAMILY Session: Components Short Paper Nicolas RENAUD, Yohann BRICARD ATMEL Nantes La Chantrerie 44306 NANTES Cedex 3 E-mail: nicolas.renaud@atmel.com, yohann.bricard@atmel.com

More information

Standardisation of PF/PL interfaces TAS point of view

Standardisation of PF/PL interfaces TAS point of view ADCSS-2014 workshop Day 3 ESTEC October 29, 2014 30/10/2014 Standardisation of PF/PL interfaces TAS point of view 83230352-DOC-TAS-EN-002 Ref.: Agenda For Proteus, H/P, Sentinel 3, Telecom, the following

More information

SpaceWire Technologies deliver multi-gigabit data rates for on-board Spacecraft. SpaceTech Expo Gregor Cranston Business Development Manager

SpaceWire Technologies deliver multi-gigabit data rates for on-board Spacecraft. SpaceTech Expo Gregor Cranston Business Development Manager SpaceWire Technologies deliver multi-gigabit data rates for on-board Spacecraft SpaceTech Expo 2013 Gregor Cranston Business Development Manager 1 Introducing SpaceFibre A very high-speed serial data-link

More information

Building Blocks For System on a Chip Spacecraft Controller on a Chip

Building Blocks For System on a Chip Spacecraft Controller on a Chip PIO/TEST/WDOGN/ 19 ERRORN 2 Clock, Reset CT_PULSE CT_EVENT 4 4 4 SWB0 : Space Wire SWB1 : Space Wire SWB2 : Space Wire HKP Housekeeping Packetizer Context RA CT CCSDS Time anager SWT SWITCH ATRIX IT from

More information

OBC Mass Memories Final Presentation

OBC Mass Memories Final Presentation OBC Mass Memories Final Presentation Patrik Sandin, Chief Engineer, Digital Product Unit, AB Dietmar Walter, Hardware Group, DSI-IT GmbH Glenn Johnson, Onboard Software Group, SCISYS UK Ltd ESA Contract

More information

CNES requirements w.r.t. Next Generation General Purpose Microprocessor

CNES requirements w.r.t. Next Generation General Purpose Microprocessor Round-table on Next Generation Microprocessors for Space Applications : CNES requirements w.r.t. Next Generation General Purpose Microprocessor ESA/ESTEC september 12th 2006 G.Moury, J.Bertrand, M.Pignol

More information

Scalable Sensor Data Processor: Testing and Validation

Scalable Sensor Data Processor: Testing and Validation Scalable Sensor Data Processor: Testing and Validation R. Pinto a, L. Berrojo, L. Gomez, F. Piarrette, P. Sanchez, E. Garcia, R. Trautner b, G. Rauwerda c, K. Sunesen, S. Redant d, S. Habinc e, J. Andersson,

More information

Integrated Modular Avionics for Space

Integrated Modular Avionics for Space Integrated Modular Avionics for Space IMA4Space ADCSS 2012 J.Windsor ESTEC 23-10-2012 Agenda 1. Introduction 2. Architecture 3. Implementation Status of System Execution Platforms (SEPs) 4. Use Case: (Platform

More information

John Cornforth (1), Andrew Bacon (1), I Sturland (2), Roland Trautner (TO) (3) (1) Presented by John Cornforth

John Cornforth (1), Andrew Bacon (1), I Sturland (2), Roland Trautner (TO) (3) (1) Presented by John Cornforth Smart Microsystems A Feasibility Study to Investigate the Decentralisation of Space Systems with highly efficient Micronodes using advanced ASIC technologies John Cornforth (1), Andrew Bacon (1), I Sturland

More information

The Avionics System Test Bench, Functional Engineering Simulator: New Developments in Support of Mission and System Verification

The Avionics System Test Bench, Functional Engineering Simulator: New Developments in Support of Mission and System Verification The Avionics System Test Bench, Functional Engineering Simulator: New Developments in Support of Mission and System Verification INTRODUCTION 11th Int. WS on Simulation & EGSE facilities for Space Programmes

More information

Final Presentation. Network on Chip (NoC) for Many-Core System on Chip in Space Applications. December 13, 2017

Final Presentation. Network on Chip (NoC) for Many-Core System on Chip in Space Applications. December 13, 2017 Final Presentation Network on Chip (NoC) for Many-Core System on Chip in Space Applications December 13, 2017 Dr. ir. Gerard Rauwerda Gerard.Rauwerda@recoresystems.com NoC round table Network-on-Chip (NoC)

More information

COrDeT Cannes : Use of domain engineering process to develop reusable architectures and building-blocks

COrDeT Cannes : Use of domain engineering process to develop reusable architectures and building-blocks COrDeT Cannes : Use of domain engineering process to develop reusable architectures and building-blocks G. Garcia 1, X. Olive 1, A. Pasetti 2, O. Rohlik 2, T. Vardanega 3, A.-I. Rodríguez-Rodríguez 4 A.

More information

Scalable Sensor Data Processor Development Status DSP Day - September 2014

Scalable Sensor Data Processor Development Status DSP Day - September 2014 Scalable Sensor Data Processor Development Status DSP Day - September 2014 83230347-DOC-TAS-EN-003 Presentation of the SSDP ASIC Program & Context SSDP will be the first Space Qualified European multi-core

More information

SWIFU: SPACEWIRE INTERFACE UNIT FOR VERSATILE SENSOR

SWIFU: SPACEWIRE INTERFACE UNIT FOR VERSATILE SENSOR SWIFU: SPACEWIRE INTERFACE UNIT FOR VERSATILE SENSOR INTEGRATION ON THE EXOMARS CHASSIS BREADBOARD Session: Spacewire Missions and Applications Short Paper Lee C. G.-Y., Obstei R. von Hoerner & Sulger

More information

EMC2. Prototyping and Benchmarking of PikeOS-based and XTRATUM-based systems on LEON4x4

EMC2. Prototyping and Benchmarking of PikeOS-based and XTRATUM-based systems on LEON4x4 EMC2 Prototyping and Benchmarking of PikeOS-based and XTRATUM-based systems on LEON4x4 Introduction Multi-core architectures will be adopted in the next generations of avionics and aerospace systems. Integrated

More information

Design guideline and software tools for deterministic SpaceWire network using SpaceWire-D

Design guideline and software tools for deterministic SpaceWire network using SpaceWire-D JAXA Status Report Part 1 Design guideline and software tools for deterministic SpaceWire network using SpaceWire-D Takayuki Yuasa, Tadayuki Takahashi (JAXA) Collaborators: Hiroaki Takada, Mitsutaka Takada,

More information

SAVOIR Industrial Consultation

SAVOIR Industrial Consultation SAVOIR Industrial Consultation Jean-Loup TERRAILLON TEC-S Giorgio MAGISTRATI TEC-EDD Specification production scheme. Under SAG agreement; 1. A draft version is produced; By a SAG working group Output

More information

Codesign Framework. Parts of this lecture are borrowed from lectures of Johan Lilius of TUCS and ASV/LL of UC Berkeley available in their web.

Codesign Framework. Parts of this lecture are borrowed from lectures of Johan Lilius of TUCS and ASV/LL of UC Berkeley available in their web. Codesign Framework Parts of this lecture are borrowed from lectures of Johan Lilius of TUCS and ASV/LL of UC Berkeley available in their web. Embedded Processor Types General Purpose Expensive, requires

More information

SpaceWire ECSS-E50-12A International SpaceWire Seminar (ISWS 2003)

SpaceWire ECSS-E50-12A International SpaceWire Seminar (ISWS 2003) SpaceWire ECSS-E50-12A International SpaceWire Seminar (ISWS 2003) 4-5 November 2003, ESTEC Noordwijk, The Netherlands Steve Parkes (1), Josep Rosello (2) (1) University of Dundee, Applied Computing, Dundee,

More information

RAD750 TM SPACEWIRE-ENABLED FLIGHT COMPUTER FOR LUNAR RECONNAISSANCE ORBITER

RAD750 TM SPACEWIRE-ENABLED FLIGHT COMPUTER FOR LUNAR RECONNAISSANCE ORBITER RAD750 TM SPACEWIRE-ENABLED FLIGHT COMPUTER FOR LUNAR RECONNAISSANCE ORBITER Session: Onboard Equipment and Software Short Paper Richard Berger, Alan Dennis, David Eckhardt, Suzanne Miller, Jeff Robertson,

More information

SpaceFibre Flight Software Workshop 2015

SpaceFibre Flight Software Workshop 2015 SpaceFibre Flight Software Workshop 2015 Steve Parkes, University of Dundee Albert Ferrer Florit, Alberto Gonzalez Villafranca, STAR-Dundee Ltd. David McLaren, Chris McClements, University of Dundee Contents

More information

FPGA-Based Embedded Systems for Testing and Rapid Prototyping

FPGA-Based Embedded Systems for Testing and Rapid Prototyping FPGA-Based Embedded Systems for Testing and Rapid Prototyping Martin Panevsky Embedded System Applications Manager Embedded Control Systems Department The Aerospace Corporation Flight Software Workshop

More information

The Use Of Virtual Platforms In MP-SoC Design. Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006

The Use Of Virtual Platforms In MP-SoC Design. Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006 The Use Of Virtual Platforms In MP-SoC Design Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006 1 MPSoC Is MP SoC design happening? Why? Consumer Electronics Complexity Cost of ASIC Increased SW Content

More information

SINGLE MODULAR ON-BOARD COMPUTER FOR SPACE APPLICATIONS

SINGLE MODULAR ON-BOARD COMPUTER FOR SPACE APPLICATIONS APPLICATIONS Jonathan William de Holanda, jholanda@equatorialsistemas.com.br Benjamin Gilles Nicolas Boglietti, bboglietti@equatorialsistemas.com.br Sidney L. A. Carrara, scarrara@equatorialsistemas.com.br

More information

Agile ACMS Software Fast Prototyping for Real-time Validation with Hardware in the Loop

Agile ACMS Software Fast Prototyping for Real-time Validation with Hardware in the Loop Agile ACMS Software Fast Prototyping for Real-time Validation with Hardware in the Loop Denis Fertin European Space Technology Centre (ESTEC), European Space Agency, Noordwijk, The Netherlands Abstract

More information

Blue Canyon Technologies XB1 Enabling a New Realm of CubeSat Science. George Stafford BCT Range St, Suite 200 Boulder, CO 80301

Blue Canyon Technologies XB1 Enabling a New Realm of CubeSat Science. George Stafford BCT Range St, Suite 200 Boulder, CO 80301 Blue Canyon Technologies XB1 Enabling a New Realm of CubeSat Science George Stafford BCT 720.458.0703 1600 Range St, Suite 200 Boulder, CO 80301 About BCT Blue Canyon Technologies is a small business founded

More information

EGSE-Lite A Light Weight EGSE/Simulation environment for LEON based Satellite Subsystem & Payload Equipment

EGSE-Lite A Light Weight EGSE/Simulation environment for LEON based Satellite Subsystem & Payload Equipment EGSE-Lite A Light Weight EGSE/Simulation environment for LEON based Satellite Subsystem & Payload Equipment Federico Cordero (1), Jose Mendes (1), Balaji Kuppusamy (1), Thomas Dathe (1), Michael Irvine

More information

2 nd ESA IP-Cores Day

2 nd ESA IP-Cores Day Description of the Event 2 nd ESA IP-Cores Day 16th of September 2013 ESA / ESTEC (Noordwijk, The Netherlands) On the 16th of September 2013 the 2nd ESA IP-Cores day will take place at ESA/ESTEC, in EINSTEIN

More information

SMCSlite and DS-Link Macrocell Development

SMCSlite and DS-Link Macrocell Development and DS-Link Macrocell Development Microelectronics Final Presentation Days, ESTEC, 6-7 March 2001 Anja Christen Tim Pike Paul Rastetter Astrium GmbH, D-81663 Ottobrunn Tel. ++49-89-60720267, Fax ++49-89-60721302

More information

Remote Memory Access in Embedded Networked Systems

Remote Memory Access in Embedded Networked Systems Remote Memory Access in Embedded Networked Systems V. Olenev, I. Korobkov, L. Koblyakova, F. Shutenko SUAI 190000, B.Morskaya 67, Saint_Petersburg, Russia valentin.olenev@guap.ru, zelwa@yandex.ru, Luda_o@rambler.ru,

More information

Massively Parallel Processor Breadboarding (MPPB)

Massively Parallel Processor Breadboarding (MPPB) Massively Parallel Processor Breadboarding (MPPB) 28 August 2012 Final Presentation TRP study 21986 Gerard Rauwerda CTO, Recore Systems Gerard.Rauwerda@RecoreSystems.com Recore Systems BV P.O. Box 77,

More information

BENCHMARKING SPACEWIRE NETWORKS

BENCHMARKING SPACEWIRE NETWORKS BENCHMARKING SPACEWIRE NETWORKS Session: Networks & Protocols Long Paper Asaf Baron, Isask'har Walter, Ran Ginosar and Isaac Keslassy VLSI Systems Research Center, Elec. Eng. Dept., Technion, Haifa 32000,

More information

RTU presentation. Torbjörn Hult Chief Engineer, Digital Products RUAG SPACE ADCSS 2015, ESTEC

RTU presentation. Torbjörn Hult Chief Engineer, Digital Products RUAG SPACE ADCSS 2015, ESTEC RTU presentation Torbjörn Hult Chief Engineer, Digital Products RUAG SPACE ADCSS 2015, ESTEC RUAG Space at a Glance Leading European space product supplier to the industry Acquisition of Saab Space and

More information

SpaceWire and SpaceFibre Interconnect for High Performance DSPs

SpaceWire and SpaceFibre Interconnect for High Performance DSPs SpaceWire and SpaceFibre Interconnect for High Performance s S.M. Parkes a, B. Yu b, A. Whyte b, C. McClements b, A. Ferrer Florit b, A. Gonzalez Villafranca b, a University of Dundee, Dundee, DD1 4EE,

More information

SPACE PACEWIRE STANDARD AND RELATED PRODUCTS FOR THE PAYLOAD DATA HANDLING SYSTEM OF BEPI

SPACE PACEWIRE STANDARD AND RELATED PRODUCTS FOR THE PAYLOAD DATA HANDLING SYSTEM OF BEPI f D document title/ titre du document D O C U M E N T SPACE PACEWIRE STANDARD AND RELATED PRODUCTS FOR THE PAYLOAD DATA HANDLING SYSTEM OF BEPI EPICOLOMBO prepared by/préparé par TOS-EDP reference/réference

More information

ESA ADCSS Deterministic Ethernet in Space Avionics

ESA ADCSS Deterministic Ethernet in Space Avionics ESA ADCSS 2015 Deterministic Ethernet in Space Avionics Bülent Altan Strategic Advisor with Jean-Francois Dufour, Christian Fidi and Matthias Mäke-Kail Copyright TTTech Computertechnik AG. All rights reserved.

More information

ExoMars Rover Vehicle

ExoMars Rover Vehicle Page: 2 of 21 PAGE INTENTIONALLY LEFT BLANK Page: 3 of 21 TABLE OF CONTENTS 1 INTRODUCTION... 5 1.1 Purpose and Scope... 5 1.2 Priority of requirements... 5 1.3 Guidelines and Traceability... 5 2 DOCUMENTS...

More information

ECE 111 ECE 111. Advanced Digital Design. Advanced Digital Design Winter, Sujit Dey. Sujit Dey. ECE Department UC San Diego

ECE 111 ECE 111. Advanced Digital Design. Advanced Digital Design Winter, Sujit Dey. Sujit Dey. ECE Department UC San Diego Advanced Digital Winter, 2009 ECE Department UC San Diego dey@ece.ucsd.edu http://esdat.ucsd.edu Winter 2009 Advanced Digital Objective: of a hardware-software embedded system using advanced design methodologies

More information

A ONE CHIP HARDENED SOLUTION FOR HIGH SPEED SPACEWIRE SYSTEM IMPLEMENTATIONS

A ONE CHIP HARDENED SOLUTION FOR HIGH SPEED SPACEWIRE SYSTEM IMPLEMENTATIONS A ONE CHIP HARDENED SOLUTION FOR HIGH SPEED SPACEWIRE SYSTEM IMPLEMENTATIONS Joseph R. Marshall, Richard W. Berger, Glenn P. Rakow Conference Contents Standards & Topology ASIC Program History ASIC Features

More information

Advanced On-board Control Procedure

Advanced On-board Control Procedure 1 Overview The Advanced On-Board Control Procedure (AOBCP) product is one of a set of technologies that allows to implement cost effective operation and control of a spacecraft. Together these technologies

More information

High Accuracy Time Synchronization over SpaceWire Networks

High Accuracy Time Synchronization over SpaceWire Networks High Accuracy Time Synchronization over SpaceWire Networks Final Report SPWCUC-REP-0004 Version 1.0 18 December 2013 i Final Report ESTEC Contract 400105931 EUROPEAN SPACE AGENCY CONTRACT REPORT The work

More information

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group I N V E N T I V E DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group Moore s Law & More : Tall And Thin More than Moore: Diversification Moore s

More information

Dynamically Reconfigurable Processing Module (DRPM), Application on Instruments and Qualification of FPGA Package

Dynamically Reconfigurable Processing Module (DRPM), Application on Instruments and Qualification of FPGA Package INSTITUTE OF COMPUTER AND NETWORK ENGINEERING Dynamically Reconfigurable Processing Module (DRPM), Application on Instruments and Qualification of FPGA Package Björn Fiethe, Frank Bubenhagen, Tobias Lange,

More information

Modeling Of Spacewire Traffic. - ESA study Contract No /10/NL/LvH. Executive Summary & Final Report

Modeling Of Spacewire Traffic. - ESA study Contract No /10/NL/LvH. Executive Summary & Final Report Modeling Of Spacewire Traffic - ESA study Contract No. 23037/10/NL/LvH Executive Summary & Final Report 2/25 Left Blank 3/25 OVERVIEW...5 CONTRACT EXECUTIVE SUMMARY...7 FINAL REPORT...10 1.1 Objectives...

More information

Test System Architecture for AOCS Testing

Test System Architecture for AOCS Testing S Sudhakar-Group Head, CDEG,ISAC/ISRO Email: sudhakar@isac.gov.in FSW-2015 John Hopkins Applied Physics Lab,Maryland, USA 1 Agenda Introduction AOCS Test Requirements Architecture Design of Various Features

More information

Example of Technology Development Program

Example of Technology Development Program Example of Technology Development Program SSTDM 2014 IISC, Bangalore, India April 1, 2014 Dr. Marco Villa CANEUS Small Satellites Director Tyvak VP Space Vehicle Systems Ground rules Power and Volume are

More information

11th symposium on advanced space technologies in robotics and automation

11th symposium on advanced space technologies in robotics and automation 11th symposium on advanced space technologies in robotics and automation CONTRIBUTION OF A POWERFUL IMAGE PROCESSING UNIT TO THE AUTONOMY OF ROBOTIC INSTRUMENTS Thomas Würgler, Neuchâtel, Switzerland Thursday,

More information

PowerPC- based Processor Modules for Space Applications

PowerPC- based Processor Modules for Space Applications PowerPC- based Processor Modules for Space Applications Patria Systems OY Jaakko Toivonen 12.09.2006 PowerPC- based Single Board Computers Two Single Board Computer (SBC) modules targeted for space applications:

More information

Payload Data Processing Technologies for JUICE

Payload Data Processing Technologies for JUICE Payload Data Processing Technologies for JUICE JUICE instrument Workshop ESOC, 9 th -11 th November 2011 R. Trautner, TEC-EDP 1 Contents Presentation Overview Expected payload data processing requirements

More information

FPGA Based Digital Design Using Verilog HDL

FPGA Based Digital Design Using Verilog HDL FPGA Based Digital Design Using Course Designed by: IRFAN FAISAL MIR ( Verilog / FPGA Designer ) irfanfaisalmir@yahoo.com * Organized by Electronics Division Integrated Circuits Uses for digital IC technology

More information

GAUSS OBC ABACUS 2017

GAUSS OBC ABACUS 2017 [] Table of contents Table of contents... 1 1. Introduction... 3 1.1. ABACUS Features... 3 1.2. Block Diagram... 6 2. Pinouts... 7 3. Inertial Measurement Unit Details... 10 3.1. Orientation of Axes...

More information

COMPARISON BETWEEN GR740, LEON4-N2X AND NGMP

COMPARISON BETWEEN GR740, LEON4-N2X AND NGMP Doc..: Date: 2017-08-22 Page: 1 of 11 COMPARISON BETWEEN GR740, LEON4-N2X AND NGMP Doc..: Date: 2017-08-22 Page: 2 of 11 TABLE OF CONTENTS 1 INTRODUCTION... 3 1.1 Scope of the Document... 3 1.2 Reference

More information

Platform-based Design

Platform-based Design Platform-based Design The New System Design Paradigm IEEE1394 Software Content CPU Core DSP Core Glue Logic Memory Hardware BlueTooth I/O Block-Based Design Memory Orthogonalization of concerns: the separation

More information

SpaceWire-RT Update. EU FP7 Project Russian and European Partners. SUAI, SubMicron, ELVEES University of Dundee, Astrium GmbH

SpaceWire-RT Update. EU FP7 Project Russian and European Partners. SUAI, SubMicron, ELVEES University of Dundee, Astrium GmbH SpaceWire-RT Update EU FP7 Project Russian and European Partners SUAI, SubMicron, ELVEES University of Dundee, Astrium GmbH 1 Contents SpaceWire-RT project SpaceWire-RT protocols Oversampled SpaceFibre

More information

Video Processing Chain VPC2 SpaceWire Networking Protocol Meeting July 2005

Video Processing Chain VPC2 SpaceWire Networking Protocol Meeting July 2005 Video Processing Chain VPC2 SpaceWire Networking Protocol Meeting 4 19-20-21 July 2005 Page 1 Summary VPC2 and SPADA_RT Activity VPC2 Architecture Data Exchange VPC2 RMAP Implementation Issue FPGA Implementation

More information

Ensuring Schedulability of Spacecraft Flight Software

Ensuring Schedulability of Spacecraft Flight Software Ensuring Schedulability of Spacecraft Flight Software Flight Software Workshop 7-9 November 2012 Marek Prochazka & Jorge Lopez Trescastro European Space Agency OUTLINE Introduction Current approach to

More information

Issues in (very) rad hard systems: an ESA perspective on use of COTS and space grade electronics in JUICE mission.

Issues in (very) rad hard systems: an ESA perspective on use of COTS and space grade electronics in JUICE mission. Issues in (very) rad hard systems: an ESA perspective on use of COTS and space grade electronics in JUICE mission. Gianluca Furano ESA-ESTEC Data Systems Division Darmstadt 9-11 November 2011 JUICE WS

More information

SpaceWire Backplane Application Requirements

SpaceWire Backplane Application Requirements SpaceWire Backplane Application Requirements Alan Senior 15 th December 2011 Classification Summary The work presented is part of the ESA funded SpaceWire Backplane activity reference TEC EPD/2010.88 The

More information

High-Level Simulations of On-Chip Networks

High-Level Simulations of On-Chip Networks High-Level Simulations of On-Chip Networks Claas Cornelius, Frank Sill, Dirk Timmermann 9th EUROMICRO Conference on Digital System Design (DSD) - Architectures, Methods and Tools - University of Rostock

More information

SpaceWire-RT. SpaceWire-RT Status SpaceWire-RT IP Core ASIC Feasibility SpaceWire-RT Copper Line Transceivers

SpaceWire-RT. SpaceWire-RT Status SpaceWire-RT IP Core ASIC Feasibility SpaceWire-RT Copper Line Transceivers SpaceWire-RT SpaceWire-RT Status SpaceWire-RT IP Core ASIC Feasibility SpaceWire-RT Copper Line Transceivers 1 Overview of SpaceWire-RT Project Aims The SpaceWire-RT research programme aims to: Conceive

More information

Hardware-Software Codesign. 1. Introduction

Hardware-Software Codesign. 1. Introduction Hardware-Software Codesign 1. Introduction Lothar Thiele 1-1 Contents What is an Embedded System? Levels of Abstraction in Electronic System Design Typical Design Flow of Hardware-Software Systems 1-2

More information

Towards SpaceWire Plug-And-Play ECSS Standard

Towards SpaceWire Plug-And-Play ECSS Standard Towards SpaceWire Plug-And-Play ECSS Standard David Jameux SpaceWire 2011, San Antonio (TX), USA 08/11/2011 Background 1. SpaceWire: a. initiated by ESA b. allows embarking high speed data networks on

More information

Operating real equipments with fully simulated On Board Computer

Operating real equipments with fully simulated On Board Computer Operating real equipments with fully simulated On Board Computer SimEFM, a new validation infrastructure SESP 2012 - ESTEC 25/27 September 2012 - D. Chatelais, W. Gonzalez ACE83 Satellite FVI Introduction

More information

System Level Design with IBM PowerPC Models

System Level Design with IBM PowerPC Models September 2005 System Level Design with IBM PowerPC Models A view of system level design SLE-m3 The System-Level Challenges Verification escapes cost design success There is a 45% chance of committing

More information

Spacewire related activities in JAXA & science community ~Summary~

Spacewire related activities in JAXA & science community ~Summary~ Working Group Meeting (July 19-20, 2005) Spacewire related activities in JAXA & science community ~Summary~ Y. Kasaba, T. Takashima (ISAS/JAXA) JAXA/BepiColombo Project Office T. Takahashi, M. Ozaki (ISAS/JAXA)

More information

HIGH PERFORMANCE PPC BASED DPU WITH SPACEWIRE RMAP PORT

HIGH PERFORMANCE PPC BASED DPU WITH SPACEWIRE RMAP PORT High Performance PPC Based DPU With SpaceWire RMAP Port HIGH PERFORMANCE PPC BASED DPU WITH SPACEWIRE RMAP PORT Session: SpaceWire Components Short Paper Pekka Seppä, Petri Portin Patria Aviation Oy, Systems/Space,

More information

D Consolidated set of Requirements for SpaceWire-RT

D Consolidated set of Requirements for SpaceWire-RT D 1.1 Consolidated set of Requirements for SpaceWire-RT Lead Beneficiary: Author(s): SMIC, ASTR Viacheslav Grishin, Paul Rastetter, Petr Eremeev, Anatoly Lobanov Work Package: WP 01 Task: Task 8 Version:

More information

The special radiation-hardened processors for new highly informative experiments in space

The special radiation-hardened processors for new highly informative experiments in space Journal of Physics: Conference Series PAPER OPEN ACCESS The special radiation-hardened processors for new highly informative experiments in space To cite this article: O V Serdin et al 2017 J. Phys.: Conf.

More information

Hardware-Software Codesign. 1. Introduction

Hardware-Software Codesign. 1. Introduction Hardware-Software Codesign 1. Introduction Lothar Thiele 1-1 Contents What is an Embedded System? Levels of Abstraction in Electronic System Design Typical Design Flow of Hardware-Software Systems 1-2

More information