Dynamically Reconfigurable Processing Module (DRPM), Application on Instruments and Qualification of FPGA Package

Size: px
Start display at page:

Download "Dynamically Reconfigurable Processing Module (DRPM), Application on Instruments and Qualification of FPGA Package"

Transcription

1 INSTITUTE OF COMPUTER AND NETWORK ENGINEERING Dynamically Reconfigurable Processing Module (DRPM), Application on Instruments and Qualification of FPGA Package Björn Fiethe, Frank Bubenhagen, Tobias Lange, Harald Michalik, Holger Michel, Wafy Nayif INSTITUTE OF COMPUTER AND NETWORK ENGINEERING

2 Outline 1. Heritage of FPGAs in Scientific Space Instruments 2. Dynamically Reconfigurable Processing Module (DRPM) 3. Application on Solar Orbiter PHI Instrument 4. Application on Proba-3 ASPIICS Instrument 5. System-on-Chip (SoC) Solution for DPUs 6. Virtex-4 FPGA CF1140 Package Assembly Qualification 7. Conclusion Page 2

3 On-Board Data Processing Unit (DPU) Heritage Based on Xilinx SRAM FPGAs: First European System-on-Chip Computer in Space Proven solution for scientific space instruments if appropriate mitigation techniques against radiation effects are applied High flexibility, but reconfigurability has only been used in development phase (VMC, DawnFC) NO support for dynamic in-flight reconfiguration VMC operational since 6 years with only a few numbers of predicted reboots due to SEE Availability Venus Montoring Camera (VMC) Dawn Framing Camera (DawnFC) Page 3

4 Implementation of CCSDS 122 IDC on space-qualified FPGA One RTAX2000S FPGA: Property Proba-V EnMAP Resource Proba-V EnMAP lossy/lossless both lossless R-cell 7125(66%) 7620(71%) image line size 1024 or C-cell 8455(39%) 9772(45%) tile size 408x x128 total cells 15580(48%) 17392(54%) segment size block RAMs 54/64 58/64 bit-depth frequency (MHz) in/out bus width 12 8 throughput (Mbits/s) Mbit/s@66MHz clock frequency ~ 14 Mpix/s@12bit/pix Page 4

5 Dynamically Reconfigurable Processing Module (DRPM) Demonstrator for Dynamic Reconfigurable Processing Module (DRPM) is currently in development and validation under ESA contract Primary objective of study is to provide development environment demonstrating feasibility of reconfigurable FPGA technology for flight programs Modules of demonstrator platform are equipped with devices and interfaces also available in space qualified versions Page 5

6 DRPM Architecture Modular concept: System Controller (Overall system control and supervision) SpaceWire Router (Sub-module interconnection and expandability) Dynamically reconfigurable FPGA (DFPGA) module (Processing unit) Processing capacity scalable by addition of further DFPGA modules Redundancy by addition of DFPGA modules with identical functionality Page 6

7 DRPM Demonstrator Hardware Page 7

8 Polarimetric and Helioseismic Imager (PHI) Instrument SO/PHI will probe the solar interior and provide maps of the continuum intensity, the magnetic field vector and the line-of-sight velocity in the solar photosphere Two Active Pixel Sensors (APS) with pixel each Dedicated Image Stabilization System (ISS) Acquisition of one set of images containing 24 observables every minute at each detector 2x Mbit/s Parallel real-time processing not achievable due to limited power and thermal resources Unused module resident in FPGA wastes power, resource utilization and costs Dynamic reconfiguration allows flexible use of FPGAs for Time Space Partitioning Acquired data have to be stored in memory until FPGAs are reconfigured Page 8

9 Application of DRPM on SO/PHI Page 9

10 Dynamic Reconfiguration for Time Space Partitioning (TSP) 1. Dedicated configuration for image data acquisition: Image stabilization system (ISS) in FPGA #1 Data accumulation in FPGA #2 Page 10

11 Dynamic Reconfiguration for Time Space Partitioning (TSP) 2. Different configuration for subsequent data processing: RTE inversion in FPGA #1 Data pre-processing in FPGA #2 Page 11

12 SO/PHI DPU Prototype Development from DRPM Prototype of the Solar Orbiter DPU: Fully capable to demonstrate dynamic reconfiguration approach Based on DRPM study demonstrator Baseboard with flash-based Microsemi FPGA as prototype for RTAX Xilinx Virtex-4 SX55 FPGA + local memory Front panel to support all internal and external DPU interfaces Available, HW verified Successful interface and full functional tests with other breadboard units Page 12

13 SO/PHI DPU EQM Development Page 13

14 Application of DRPM on Proba-3 ASPIICS (Association de Satellites Pour l Imagerie et l Interférométrie de la Couronne Solaire) Page 14

15 System-on-Chip (SoC) Solution Reconfigurable GP-Processor is prefect SoC solution for single board DPUs: Atmel ATF697FF: LEON2-FT fast enough, but FPGA area much too small Microsemi SmartFusion2: Full SoC solution, ARM Cortex-M3, not FT, not rad-tolerant Xilinx Virtex-4: Dual PowerPC, external configuration, not FT, too powerful(?) Xilinx Zynq-7000: Full SoC solution, Dual-Core ARM Cortex-A9, availability?? Page 15

16 Virtex-4 FPGA CF1140 Package Assembly Qualification Currently no qualified process manufacturer available in Europe Mission specific assembly qualification: Based on general requirements according to ECSS-Q-ST-70-38C and TEC-QT/2009/1059/CV Mission specific adaptations, qualification levels and acceleration factor Double-tracked approach: 1. Soldering: Using same process already qualified for military application 2. Optional non-soldering socket: Flexible connection, e.g. spring probe Reliable interface with no solder joints Qualified for military applications Shock and vibration to 25 Gs with no disconnect Consistent resistance over extreme temperature ranges Additional thermal strap to structure to ensure good conductivity Page 16

17 Virtex-4 FPGA CF1140 Package Assembly Qualification Manufacturing and assembly of completely representative PCB and mounting frame Daisy-chain packages for probing of all connections Repair process will not be used No qualification of repair process Detailed qualification plan available Page 17

18 Virtex-4 FPGA CF1140 Package Assembly Qualification Main Failure Model: Solder joint fatigue on the solder joints due to coefficients of thermal expansion (CTE) mismatch Modified Coffin-Manson: 1.9 AA = T 1 L f 3 M T M f L Number of boards: EEE T M 1 T L Up to 4 internal capability samples to optimize assembly and soldering 4 qualification test boards Microsection of one board after mission specific thermal cycling Continuing thermal cycling with 3 boards until first error to provide better statistics Microsection of failed board Page 18

19 Conclusion Dynamic approach is much more favorable within very tight power and thermal constraints of space science missions First European application of dynamic reconfiguration of FPGAs for scientific space instrument In-flight adaptive hardware enhances the system with adaptive functionality on demand and significant operational flexibility Major maintenance and performance improvement Data integrity must be guaranteed and mitigation techniques against SEU induced errors have to be applied Perfect System-on-Chip (SoC) solution for DPUs still to come Mission specific Virtex-4 FPGA CF1140 package assembly qualification started Page 19

20 THANK YOU FOR YOUR ATTENTION! Questions? Page 20

SoCWire: a SpaceWire inspired fault tolerant Network on Chip approach for reconfigurable System-on-Chip in Space applications

SoCWire: a SpaceWire inspired fault tolerant Network on Chip approach for reconfigurable System-on-Chip in Space applications SoCWire: a SpaceWire inspired fault tolerant Network on Chip approach for reconfigurable System-on-Chip in Space applications Björn Osterloh Institute of Computer and Network Engineering TU Braunschweig,

More information

ENHANCED DYNAMIC RECONFIGURABLE PROCESSING MODULE FOR FUTURE SPACE APPLICATIONS

ENHANCED DYNAMIC RECONFIGURABLE PROCESSING MODULE FOR FUTURE SPACE APPLICATIONS Enhanced Dynamic Reconfigurable Processing Module for Future Space Applications ENHANCED DYNAMIC RECONFIGURABLE PROCESSING MODULE FOR FUTURE SPACE APPLICATIONS Session: SpaceWire Missions and Applications

More information

Your Company Logo Here. Flying High-Performance FPGAs on Satellites: Two Case Studies

Your Company Logo Here. Flying High-Performance FPGAs on Satellites: Two Case Studies Your Company Logo Here Flying High-Performance FPGAs on Satellites: Two Case Studies Introduction Often when considering flying a FPGA or other high-performance device the first thoughts might be how will

More information

Dynamically Reconfigurable Processing Module for Future Space Applications

Dynamically Reconfigurable Processing Module for Future Space Applications Dynamically Reconfigurable Processing Module for Future Space Applications Giuseppe Montano, Paul Norridge, Wayne Sullivan, Chris Topping, Alex Wishart Astrium Limited, Gunnels Wood Road, Stevenage, SG1

More information

ATMEL SPACEWIRE PRODUCTS FAMILY

ATMEL SPACEWIRE PRODUCTS FAMILY ATMEL SPACEWIRE PRODUCTS FAMILY Session: Components Short Paper Nicolas RENAUD, Yohann BRICARD ATMEL Nantes La Chantrerie 44306 NANTES Cedex 3 E-mail: nicolas.renaud@atmel.com, yohann.bricard@atmel.com

More information

Reconfigurable System-on-Chip Data Processing Units for Space Imaging Instruments

Reconfigurable System-on-Chip Data Processing Units for Space Imaging Instruments Reconfigurable System-on-Chip Data Processing Units for Space Imaging Instruments B. Fiethe, H. Michalik, C. Dierker, B. Osterloh, G. Zhou IDA TU Braunschweig, Hans-Sommer-Str. 66, D-38106 Braunschweig,

More information

CSP: HIGH PERFORMANCE RELIABLE COMPUTING FOR SMALLSATS

CSP: HIGH PERFORMANCE RELIABLE COMPUTING FOR SMALLSATS CSP: HIGH PERFORMANCE RELIABLE COMPUTING FOR SMALLSATS Katherine Conway, Bert Vermeire, Jordan Healea, David Strobel Space Micro Inc. CubeSat Developers Workshop 2017 Cal Poly San Luis Obispo April 26-28,

More information

Space: The Final Frontier FPGAs for Space and Harsh Environments

Space: The Final Frontier FPGAs for Space and Harsh Environments Space: The Final Frontier FPGAs for Space and Harsh Environments Introduction FPGAs offer several benefits to the system designer Flexibility of Design performance, upgrades Reduction in NRE and Cost.

More information

FPGA Provides Speedy Data Compression for Hyperspectral Imagery

FPGA Provides Speedy Data Compression for Hyperspectral Imagery FPGA Provides Speedy Data Compression for Hyperspectral Imagery Engineers implement the Fast Lossless compression algorithm on a Virtex-5 FPGA; this implementation provides the ability to keep up with

More information

Video Processing Chain VPC2 SpaceWire Networking Protocol Meeting July 2005

Video Processing Chain VPC2 SpaceWire Networking Protocol Meeting July 2005 Video Processing Chain VPC2 SpaceWire Networking Protocol Meeting 4 19-20-21 July 2005 Page 1 Summary VPC2 and SPADA_RT Activity VPC2 Architecture Data Exchange VPC2 RMAP Implementation Issue FPGA Implementation

More information

Xilinx Virtex-5QV Update and Space Roadmap Kangsen Huey Space Marketing Aerospace & Defense 17th, March, 2016

Xilinx Virtex-5QV Update and Space Roadmap Kangsen Huey Space Marketing Aerospace & Defense 17th, March, 2016 Xilinx Virtex-5QV Update and Space Roadmap Kangsen Huey Space Marketing Aerospace & Defense 17th, March, 2016 Status of V5QV Many programs have built hardware and integrated into Spacecrafts awaiting for

More information

11th symposium on advanced space technologies in robotics and automation

11th symposium on advanced space technologies in robotics and automation 11th symposium on advanced space technologies in robotics and automation CONTRIBUTION OF A POWERFUL IMAGE PROCESSING UNIT TO THE AUTONOMY OF ROBOTIC INSTRUMENTS Thomas Würgler, Neuchâtel, Switzerland Thursday,

More information

Scalable Sensor Data Processor Development Status DSP Day - September 2014

Scalable Sensor Data Processor Development Status DSP Day - September 2014 Scalable Sensor Data Processor Development Status DSP Day - September 2014 83230347-DOC-TAS-EN-003 Presentation of the SSDP ASIC Program & Context SSDP will be the first Space Qualified European multi-core

More information

SpaceWire Remote Terminal Controller

SpaceWire Remote Terminal Controller Remote Terminal Controller Presented by Jørgen Ilstad On board Payload Data Section, ESTEC Wahida Gasti, ESA ESTEC Co Authors Sandi Habinc, Gaisler Research Peter Sinander, SAAB Space Slide : 1 Overview

More information

PROGRAMMABLE MODULE WHICH USES FIRMWARE TO REALISE AN ASTRIUM PATENTED COSMIC RANDOM NUMBER GENERATOR FOR GENERATING SECURE CRYPTOGRAPHIC KEYS

PROGRAMMABLE MODULE WHICH USES FIRMWARE TO REALISE AN ASTRIUM PATENTED COSMIC RANDOM NUMBER GENERATOR FOR GENERATING SECURE CRYPTOGRAPHIC KEYS A PROPOSAL FOR A SPACE FLIGHT DEMONSTRATION OF A DYNAMICALLY RECONFIGURABLE PROGRAMMABLE MODULE WHICH USES FIRMWARE TO REALISE AN ASTRIUM PATENTED COSMIC RANDOM NUMBER GENERATOR FOR GENERATING SECURE CRYPTOGRAPHIC

More information

GR712RC A MULTI-PROCESSOR DEVICE WITH SPACEWIRE INTERFACES

GR712RC A MULTI-PROCESSOR DEVICE WITH SPACEWIRE INTERFACES GR712RC A MULTI-PROCESSOR DEVICE WITH SPACEWIRE INTERFACES Session: SpaceWire Components Short Paper Sandi Habinc, Jiri Gaisler Aeroflex Gaisler, Kungsgatan 12, SE-411 19 Göteborg, Sweden sandi@gaisler.com

More information

and MRAM devices - in the frame of Contract "Technology Assessment of DRAM and Advanced Memory Products" -

and MRAM devices - in the frame of Contract Technology Assessment of DRAM and Advanced Memory Products - Radiation Platzhalter für Characterization Bild, Bild auf Titelfolie hinter of das DDR3 Logo einsetzen SDRAM and MRAM devices - in the frame of Contract 4000104887 "Technology Assessment of DRAM and Advanced

More information

Massively Parallel Processor Breadboarding (MPPB)

Massively Parallel Processor Breadboarding (MPPB) Massively Parallel Processor Breadboarding (MPPB) 28 August 2012 Final Presentation TRP study 21986 Gerard Rauwerda CTO, Recore Systems Gerard.Rauwerda@RecoreSystems.com Recore Systems BV P.O. Box 77,

More information

Digital Control for Space Power Management Devices

Digital Control for Space Power Management Devices Template reference : 100182079N-EN Digital Control for Space Power Management Devices Work conducted under ESA Contract nr.21826/08/nl/lvh DIGITAL POWER CONTROL Management of power devices via digital

More information

Network on Chip round table European Space Agency, ESTEC Noordwijk / The Netherlands 17 th and 18 th of September 2009

Network on Chip round table European Space Agency, ESTEC Noordwijk / The Netherlands 17 th and 18 th of September 2009 Network on Chip round table European Space Agency, ESTEC Noordwijk / The Netherlands 17 th and 18 th of September 2009 Ph. Armbruster Head of Data Systems Division European Space Agency - ESTEC 17 th of

More information

NanoMind Z7000. Datasheet On-board CPU and FPGA for space applications

NanoMind Z7000. Datasheet On-board CPU and FPGA for space applications NanoMind Z7000 Datasheet On-board CPU and FPGA for space applications 1 Table of Contents 1 TABLE OF CONTENTS... 2 2 OVERVIEW... 3 2.1 HIGHLIGHTED FEATURES... 3 2.2 BLOCK DIAGRAM... 4 2.3 FUNCTIONAL DESCRIPTION...

More information

DRPM architecture overview

DRPM architecture overview DRPM architecture overview Jens Hagemeyer, Dirk Jungewelter, Dario Cozzi, Sebastian Korf, Mario Porrmann Center of Excellence Cognitive action Technology, Bielefeld University, Germany Project partners:

More information

Advanced Computing, Memory and Networking Solutions for Space

Advanced Computing, Memory and Networking Solutions for Space Advanced Computing, Memory and Networking Solutions for Space 25 th Microelectronics Workshop November 2012 µp, Networking Solutions and Memories Microprocessor building on current LEON 3FT offerings UT699E:

More information

Multi-DSP/Micro-Processor Architecture (MDPA)

Multi-DSP/Micro-Processor Architecture (MDPA) Multi-DSP/Micro-Processor Architecture (MDPA) Microelectronics Presentation Days 2010 30 March 2010, ESA/ESTEC, Noordwijk T. Helfers; E. Lembke; P. Rastetter; O. Ried Astrium GmbH Content Motivation MDPA

More information

Configurable Fault Tolerant Processor (CFTP) for Space Based Applications

Configurable Fault Tolerant Processor (CFTP) for Space Based Applications Error Interrupt Status & I/O Memory Control Clock Control Interface/switching logic Configuration Control Interface/switching (GLUE) logic EDAC TMR PRLOS PRLOS Command and Status Registers Bus Transceivers

More information

The Geostationary Operational Satellite R Series (GOES-R) SpaceWire Implementation

The Geostationary Operational Satellite R Series (GOES-R) SpaceWire Implementation The Geostationary Operational Satellite R Series (GOES-R) SpaceWire Implementation Session: SpaceWire Missions and Applications William H. Anderson NASA Goddard Space Flight Center/MEI Technologies E-mail:

More information

SpaceWire IP Cores for High Data Rate and Fault Tolerant Networking

SpaceWire IP Cores for High Data Rate and Fault Tolerant Networking SpaceWire IP Cores for High Data Rate and Fault Tolerant Networking E. Petri 1,2, T. Bacchillone 1,2, N. E. L Insalata 1,2, T. Cecchini 1, I. Del Corona 1,S. Saponara 1, L. Fanucci 1 (1) Dept. of Information

More information

PowerPC- based Processor Modules for Space Applications

PowerPC- based Processor Modules for Space Applications PowerPC- based Processor Modules for Space Applications Patria Systems OY Jaakko Toivonen 12.09.2006 PowerPC- based Single Board Computers Two Single Board Computer (SBC) modules targeted for space applications:

More information

Payload Data Processing Technologies for JUICE

Payload Data Processing Technologies for JUICE Payload Data Processing Technologies for JUICE JUICE instrument Workshop ESOC, 9 th -11 th November 2011 R. Trautner, TEC-EDP 1 Contents Presentation Overview Expected payload data processing requirements

More information

A ONE CHIP HARDENED SOLUTION FOR HIGH SPEED SPACEWIRE SYSTEM IMPLEMENTATIONS

A ONE CHIP HARDENED SOLUTION FOR HIGH SPEED SPACEWIRE SYSTEM IMPLEMENTATIONS A ONE CHIP HARDENED SOLUTION FOR HIGH SPEED SPACEWIRE SYSTEM IMPLEMENTATIONS Joseph R. Marshall, Richard W. Berger, Glenn P. Rakow Conference Contents Standards & Topology ASIC Program History ASIC Features

More information

Scalable Sensor Data Processor: Testing and Validation

Scalable Sensor Data Processor: Testing and Validation Scalable Sensor Data Processor: Testing and Validation R. Pinto a, L. Berrojo, L. Gomez, F. Piarrette, P. Sanchez, E. Garcia, R. Trautner b, G. Rauwerda c, K. Sunesen, S. Redant d, S. Habinc e, J. Andersson,

More information

Simplify System Complexity

Simplify System Complexity Simplify System Complexity With the new high-performance CompactRIO controller Fanie Coetzer Field Sales Engineer Northern South Africa 2 3 New control system CompactPCI MMI/Sequencing/Logging FieldPoint

More information

Simplify System Complexity

Simplify System Complexity 1 2 Simplify System Complexity With the new high-performance CompactRIO controller Arun Veeramani Senior Program Manager National Instruments NI CompactRIO The Worlds Only Software Designed Controller

More information

All Programmable SoC based on FPGA for IoT. Maria Liz Crespo ICTP MLAB

All Programmable SoC based on FPGA for IoT. Maria Liz Crespo ICTP MLAB All Programmable SoC based on FPGA for IoT Maria Liz Crespo ICTP MLAB mcrespo@ictp.it 1 ICTP MLAB 2 ICTP MLAB The MLAB was created in 1985 as a joint venture between ICTP and INFN with the aim of having

More information

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey System-on-Chip Architecture for Mobile Applications Sabyasachi Dey Email: sabyasachi.dey@gmail.com Agenda What is Mobile Application Platform Challenges Key Architecture Focus Areas Conclusion Mobile Revolution

More information

GAUSS OBC ABACUS 2017

GAUSS OBC ABACUS 2017 [] Table of contents Table of contents... 1 1. Introduction... 3 1.1. ABACUS Features... 3 1.2. Block Diagram... 6 2. Pinouts... 7 3. Inertial Measurement Unit Details... 10 3.1. Orientation of Axes...

More information

Compute Node Design for DAQ and Trigger Subsystem in Giessen. Justus Liebig University in Giessen

Compute Node Design for DAQ and Trigger Subsystem in Giessen. Justus Liebig University in Giessen Compute Node Design for DAQ and Trigger Subsystem in Giessen Justus Liebig University in Giessen Outline Design goals Current work in Giessen Hardware Software Future work Justus Liebig University in Giessen,

More information

SCS750. Super Computer for Space. Overview of Specifications

SCS750. Super Computer for Space. Overview of Specifications SUPER COMPUTER FOR SPACE TM Super Computer for Space F FLIGHT MODULE Overview of Specifications One board upset every 100 years in a GEO or LEO Orbit Up to 1000X Better Performance Than Current Space Processor

More information

Embedded Systems: Hardware Components (part I) Todor Stefanov

Embedded Systems: Hardware Components (part I) Todor Stefanov Embedded Systems: Hardware Components (part I) Todor Stefanov Leiden Embedded Research Center Leiden Institute of Advanced Computer Science Leiden University, The Netherlands Outline Generic Embedded System

More information

FPGA VHDL Design Flow AES128 Implementation

FPGA VHDL Design Flow AES128 Implementation Sakinder Ali FPGA VHDL Design Flow AES128 Implementation Field Programmable Gate Array Basic idea: two-dimensional array of logic blocks and flip-flops with a means for the user to configure: 1. The interconnection

More information

ESA round table. September L. Goulard PY. Bretécher

ESA round table. September L. Goulard PY. Bretécher Next generation processors for space ESA round table September 2006 L. Goulard PY. Bretécher Agenda Brief history of processors used at Sodern On going developments AT697E evaluation Requirement review

More information

Paper C2. SEU Tolerant Controls for a Space Application based on Dynamically Reconfigurable FPGA

Paper C2. SEU Tolerant Controls for a Space Application based on Dynamically Reconfigurable FPGA Paper C2 SEU Tolerant Controls for a Space Application based on Dynamically Reconfigurable FPGA Authors: S. Baldacci, V. Zolesi, F. Cuzzocrea, T. Ramacciotti (Kayser Italia Srl. Livorno Italy) 1 List of

More information

Command & Data Handling. By: Justin Hadella Brandon Gilles

Command & Data Handling. By: Justin Hadella Brandon Gilles Command & Data Handling By: Justin Hadella Brandon Gilles Outline Design Goals Requirements System Layout Processor Considerations Baseline Design Current Development 2 Design Goals 1 Watt Operational

More information

THE ADPMS READY FOR FLIGHT AN ADVANCED DATA & POWER MANAGEMENT SYSTEM FOR SMALL SATELLITES & MISSIONS

THE ADPMS READY FOR FLIGHT AN ADVANCED DATA & POWER MANAGEMENT SYSTEM FOR SMALL SATELLITES & MISSIONS SSC09-V-4 THE ADPMS READY FOR FLIGHT AN ADVANCED DATA & POWER MANAGEMENT SYSTEM FOR SMALL SATELLITES & MISSIONS Koen Puimège Verhaert Space Hogenakkerhoekstraat 9 9150 Kruibeke, Belgium; +32 3 250 14 14

More information

Open Platform for Developing and Testing Smart Grid Automation Systems. Igor Alvarado National Instruments Corp.

Open Platform for Developing and Testing Smart Grid Automation Systems. Igor Alvarado National Instruments Corp. Open Platform for Developing and Testing Smart Grid Automation Systems Igor Alvarado National Instruments Corp. Panel 1: State of the Art: Modeling, Simulation, Testing and Calibration Facilities April

More information

ESA Contract 18533/04/NL/JD

ESA Contract 18533/04/NL/JD Date: 2006-05-15 Page: 1 EUROPEAN SPACE AGENCY CONTRACT REPORT The work described in this report was done under ESA contract. Responsibility for the contents resides in the author or organisation that

More information

About using FPGAs in radiation environments

About using FPGAs in radiation environments About using FPGAs in radiation environments Tullio Grassi (FNAL / Univ. of MD) Motivations We may need some "glue logic" between the Front-End ASICs and the GBT (see talk from Chris Tully HB/HE Strawman

More information

Development Status for JAXA Critical Parts, 2008

Development Status for JAXA Critical Parts, 2008 The 21st Microelectronics Workshop Development Status for JAXA Critical Parts, 2008 Oct. 7th 2008 Electronic Components and Devices Group Aerospace Research and Development Directorate, JAXA Hiroyuki SHINDOU

More information

Ettus Research Update

Ettus Research Update Ettus Research Update Matt Ettus Ettus Research GRCon13 Outline 1 Introduction 2 Recent New Products 3 Third Generation Introduction Who am I? Core GNU Radio contributor since 2001 Designed

More information

Spacewire related activities in JAXA & science community ~Summary~

Spacewire related activities in JAXA & science community ~Summary~ Working Group Meeting (July 19-20, 2005) Spacewire related activities in JAXA & science community ~Summary~ Y. Kasaba, T. Takashima (ISAS/JAXA) JAXA/BepiColombo Project Office T. Takahashi, M. Ozaki (ISAS/JAXA)

More information

On-board Payload Data Processing, for SAR and Multispectral data processing, on-board satellites (LEON2/FFTC)

On-board Payload Data Processing, for SAR and Multispectral data processing, on-board satellites (LEON2/FFTC) UNCLASSIFIED Nationaal Lucht- en Ruimtevaartlaboratorium National Aerospace Laboratory NLR Executive summary On-board Payload Data Processing, for SAR and Multispectral data processing, on-board satellites

More information

COTS Commercial is not always advertising Monica Alderighi

COTS Commercial is not always advertising Monica Alderighi COTS Commercial is not always advertising Monica Alderighi Astro-Siesta, 30/01/2014 M. Alderigh, Astro-Siesta, 30/01/2014 1 COTS - Definition By Commercial Off-The-Shelf (COTS) is meant software or hardware

More information

Fully Integrated Communication Terminal and Equipment. FlexWave II :Executive Summary

Fully Integrated Communication Terminal and Equipment. FlexWave II :Executive Summary Fully Integrated Communication Terminal and Equipment FlexWave II :Executive Specification : Executive, D36B Authors : J. Bormans Document no. : Status : Issue Date : July 2005 ESTEC Contract : 376/99/NL/FM(SC)

More information

HES-7 ASIC Prototyping

HES-7 ASIC Prototyping Rev. 1.9 September 14, 2012 Co-authored by: Slawek Grabowski and Zibi Zalewski, Aldec, Inc. Kirk Saban, Xilinx, Inc. Abstract This paper highlights possibilities of ASIC verification using FPGA-based prototyping,

More information

SpaceFibre Flight Software Workshop 2015

SpaceFibre Flight Software Workshop 2015 SpaceFibre Flight Software Workshop 2015 Steve Parkes, University of Dundee Albert Ferrer Florit, Alberto Gonzalez Villafranca, STAR-Dundee Ltd. David McLaren, Chris McClements, University of Dundee Contents

More information

Responsive Flight Software Development & Verification Techniques for Small Satellites

Responsive Flight Software Development & Verification Techniques for Small Satellites Responsive Flight Software Development & Verification Techniques for Small Satellites Darren Rowen The Aerospace Corporation Vehicle Systems Division 9 November 2012 The Aerospace Corporation 2012 Overview

More information

S100 Series. Compact Smart Camera. High Performance: Dual Core Cortex-A9 processor and Xilinx FPGA. acquisition and preprocessing

S100 Series. Compact Smart Camera. High Performance: Dual Core Cortex-A9 processor and Xilinx FPGA. acquisition and preprocessing S100 Series Compact Smart Camera High Performance: Dual Core Cortex-A9 processor and Xilinx FPGA IP-67 Rated enclosure Programmable FPGA for image acquisition and preprocessing Multiple resolution: VGA,

More information

SmartSSR DTN Router. Alan Mick David Edell Workshop on Spacecraft Flight Software FSW-10, 12/8/2010 NOT SUBJECT TO EXPORT (ITAR) CONTROL

SmartSSR DTN Router. Alan Mick David Edell Workshop on Spacecraft Flight Software FSW-10, 12/8/2010 NOT SUBJECT TO EXPORT (ITAR) CONTROL SmartSSR DTN Router 2010 Workshop on Spacecraft Flight Software FSW-10, 12/8/2010 NOT SUBJECT TO EXPORT (ITAR) CONTROL Alan Mick David Edell Alan.Mick@jhuapl.edu David.Edell@jhuapl.edu V02, 11/29/2010

More information

1 COMMAND AND DATA HANDLING (C&DH)

1 COMMAND AND DATA HANDLING (C&DH) 1 COMMAND AND DATA HANDLING (C&DH) 1.1 Requirements and Design Drivers 1.1.1 Functional The command and data handling shall provide the capability to: Transfer information in digital or discrete representation

More information

ESA Supported General Purpose Standard Microprocessors

ESA Supported General Purpose Standard Microprocessors ESA Supported General Purpose Standard Microprocessors MPSA Roundtable on Microprocessors for Space Applications ESTEC, 04 November 2009 Roland Weigand European Space Agency Roland.Weigand[at]esa.int (1)

More information

A Novel Approach to a High Speed, Large Memory Spacecraft Data Storage Unit

A Novel Approach to a High Speed, Large Memory Spacecraft Data Storage Unit A Novel Approach to a High Speed, Large Memory Spacecraft Data Storage Unit L. Piché, B. Gordon - Routes AstroEngineering L. Laba, K. Magnussen - MDA 1 Presentation Outline Description of Cascade System

More information

QUALITY ASSURANCE FOR SPACE INSTRUMENTS BUILT WITH COTS

QUALITY ASSURANCE FOR SPACE INSTRUMENTS BUILT WITH COTS QUALITY ASSURANCE FOR SPACE INSTRUMENTS BUILT WITH COTS Peter Buch Guldager, Gøsta G. Thuesen, John Leif Jørgensen ØRSTED*DTU, Measurement and Instrumentation Systems, Building 327, Technical University

More information

2 nd ESA IP-Cores Day

2 nd ESA IP-Cores Day Description of the Event 2 nd ESA IP-Cores Day 16th of September 2013 ESA / ESTEC (Noordwijk, The Netherlands) On the 16th of September 2013 the 2nd ESA IP-Cores day will take place at ESA/ESTEC, in EINSTEIN

More information

Intel Research mote. Ralph Kling Intel Corporation Research Santa Clara, CA

Intel Research mote. Ralph Kling Intel Corporation Research Santa Clara, CA Intel Research mote Ralph Kling Intel Corporation Research Santa Clara, CA Overview Intel mote project goals Project status and direction Intel mote hardware Intel mote software Summary and outlook Intel

More information

MultiChipSat: an Innovative Spacecraft Bus Architecture. Alvar Saenz-Otero

MultiChipSat: an Innovative Spacecraft Bus Architecture. Alvar Saenz-Otero MultiChipSat: an Innovative Spacecraft Bus Architecture Alvar Saenz-Otero 29-11-6 Motivation Objectives Architecture Overview Other architectures Hardware architecture Software architecture Challenges

More information

Agenda. Programming Qualification for Rad-Tolerant Antifuse FPGAs. RT FPGA Qualification Updates. Long Term Reliability Testing

Agenda. Programming Qualification for Rad-Tolerant Antifuse FPGAs. RT FPGA Qualification Updates. Long Term Reliability Testing Power Matters Microsemi Space FPGAs Qualification and Reliability Update Solomon Wolday Product Engineering Manager Ken O Neill Director of Marketing Microsemi SoC Products Group 2012 Microsemi Corporation.

More information

Radiation Tolerant Digital I/O Module KM6784.1

Radiation Tolerant Digital I/O Module KM6784.1 Radiation Tolerant Digital I/O Module KM6784.1 MAIN FEATURES Power Consumption: 1W Digital I/O: Discrete signals SpaceWire: 3x UARTS with RS422: 6x Dimensions: 160x100 mm 2 (Europe Card Size) Mass: 0.32

More information

UNIVERSAL SPACEWIRE INTERFACE TO/FROM VME AND TO/FROM PCI

UNIVERSAL SPACEWIRE INTERFACE TO/FROM VME AND TO/FROM PCI UNIVERSAL SPACEWIRE INTERFACE TO/FROM VME AND TO/FROM PCI Session: Poster Session Short Paper ir. G.J. Vollmuller, ing. A. Pleijsier National Aerospace Laboratory NLR Anthony Fokkerweg 2, 1059CM, Amsterdam

More information

Page 1 SPACEWIRE SEMINAR 4/5 NOVEMBER 2003 JF COLDEFY / C HONVAULT

Page 1 SPACEWIRE SEMINAR 4/5 NOVEMBER 2003 JF COLDEFY / C HONVAULT Page 1 SPACEWIRE SEMINAR 4/5 NOVEMBER 2003 JF COLDEFY / C HONVAULT INTRODUCTION The SW IP was developped in the frame of the ESA 13345/#3 contract "Building block for System on a Chip" This presentation

More information

OBC Mass Memories Final Presentation

OBC Mass Memories Final Presentation OBC Mass Memories Final Presentation Patrik Sandin, Chief Engineer, Digital Product Unit, AB Dietmar Walter, Hardware Group, DSI-IT GmbH Glenn Johnson, Onboard Software Group, SCISYS UK Ltd ESA Contract

More information

The special radiation-hardened processors for new highly informative experiments in space

The special radiation-hardened processors for new highly informative experiments in space Journal of Physics: Conference Series PAPER OPEN ACCESS The special radiation-hardened processors for new highly informative experiments in space To cite this article: O V Serdin et al 2017 J. Phys.: Conf.

More information

The SpaceWire RTC: Remote Terminal Controller

The SpaceWire RTC: Remote Terminal Controller SpaceWire-SnP Working Group ESTEC, Sept 15 th, 2004 The : Remote Terminal Controller Data Systems Division luca.tunesi@esa.int ESTEC, Sept 15 th, 2004 slide: 1 Background: the OPDPS Low/Med. Speed Bus:CAN

More information

Scalable and Dynamically Updatable Lookup Engine for Decision-trees on FPGA

Scalable and Dynamically Updatable Lookup Engine for Decision-trees on FPGA Scalable and Dynamically Updatable Lookup Engine for Decision-trees on FPGA Yun R. Qu, Viktor K. Prasanna Ming Hsieh Dept. of Electrical Engineering University of Southern California Los Angeles, CA 90089

More information

CNES requirements w.r.t. Next Generation General Purpose Microprocessor

CNES requirements w.r.t. Next Generation General Purpose Microprocessor Round-table on Next Generation Microprocessors for Space Applications : CNES requirements w.r.t. Next Generation General Purpose Microprocessor ESA/ESTEC september 12th 2006 G.Moury, J.Bertrand, M.Pignol

More information

Solder Column Qualification for Ceramic Column Grid Array (CCGA) White Paper. Aeroflex Colorado Springs October 2006, Revised July 2008

Solder Column Qualification for Ceramic Column Grid Array (CCGA) White Paper. Aeroflex Colorado Springs October 2006, Revised July 2008 Solder Column Qualification for Ceramic Column Grid Array (CCGA) White Paper Aeroflex Colorado Springs October 2006, Revised July 2008 Introduction The aerospace industry continues to require increasing

More information

ESA IPs & SoCs developments

ESA IPs & SoCs developments ESA IPs & SoCs developments Picture courtesy of: Lightwave esearch Laboratory Columbia University NY 1 ESA IP cores portfolio Processor Leon2 FT Fault tolerant Sparc V8 architecture Data handling Interfaces

More information

Signal Conversion in a Modular Open Standard Form Factor. CASPER Workshop August 2017 Saeed Karamooz, VadaTech

Signal Conversion in a Modular Open Standard Form Factor. CASPER Workshop August 2017 Saeed Karamooz, VadaTech Signal Conversion in a Modular Open Standard Form Factor CASPER Workshop August 2017 Saeed Karamooz, VadaTech At VadaTech we are technology leaders First-to-market silicon Continuous innovation Open systems

More information

SpaceWire Technologies deliver multi-gigabit data rates for on-board Spacecraft. SpaceTech Expo Gregor Cranston Business Development Manager

SpaceWire Technologies deliver multi-gigabit data rates for on-board Spacecraft. SpaceTech Expo Gregor Cranston Business Development Manager SpaceWire Technologies deliver multi-gigabit data rates for on-board Spacecraft SpaceTech Expo 2013 Gregor Cranston Business Development Manager 1 Introducing SpaceFibre A very high-speed serial data-link

More information

SpaceWire Router ASIC

SpaceWire Router ASIC SpaceWire Router ASIC Steve Parkes, Chris McClements Space Technology Centre, University of Dundee Gerald Kempf, Christian Toegel Austrian Aerospace Stephan Fisher Astrium GmbH Pierre Fabry, Agustin Leon

More information

GOES-R SpaceWire Implementation

GOES-R SpaceWire Implementation GOES-R SpaceWire Implementation William Anderson GSFC/MEI Technologies Inc. William.H.Anderson@nasa.gov International SpaceWire Conference 2007 Dundee, Scotland, UK September 17, 2007 1 GOES-R SpaceWire

More information

David Merodio Codinachs Filomena Decuzzi (Until Dec 2010), Javier Galindo Guarch TEC EDM

David Merodio Codinachs Filomena Decuzzi (Until Dec 2010), Javier Galindo Guarch TEC EDM David Merodio Codinachs Filomena Decuzzi (Until Dec 2010), Javier Galindo Guarch TEC EDM Radiation hardness assessment and optimization tools: SUSANNA and JONATHAN ALREADY PRESENTED in the previous Atmel

More information

Final Presentation. Network on Chip (NoC) for Many-Core System on Chip in Space Applications. December 13, 2017

Final Presentation. Network on Chip (NoC) for Many-Core System on Chip in Space Applications. December 13, 2017 Final Presentation Network on Chip (NoC) for Many-Core System on Chip in Space Applications December 13, 2017 Dr. ir. Gerard Rauwerda Gerard.Rauwerda@recoresystems.com NoC round table Network-on-Chip (NoC)

More information

ATF280E A Rad-Hard reprogrammable FPGA

ATF280E A Rad-Hard reprogrammable FPGA ATF280E A Rad-Hard reprogrammable FPGA ESA/ESTEC 3 rd Microelectronics Presentation Days 2007 Valérie Ho-Shui-Ling Thibaud Gaillard Overview ATMEL rad-hard FPGA family The ATF280E FPGA ATMEL FPGA architecture

More information

Rad-Hard Microcontroller For Space Applications

Rad-Hard Microcontroller For Space Applications The most important thing we build is trust ADVANCED ELECTRONIC SOLUTIONS AVIATION SERVICES COMMUNICATIONS AND CONNECTIVITY MISSION SYSTEMS Rad-Hard Microcontroller For Space Applications Fredrik Johansson

More information

Assembly Integration and Verification (AIV) of the Near Infrared Spectro-Photometer s (NISP) Warm Electronics (WE) in the EUCLID mission

Assembly Integration and Verification (AIV) of the Near Infrared Spectro-Photometer s (NISP) Warm Electronics (WE) in the EUCLID mission Assembly Integration and Verification (AIV) of the Near Infrared Spectro-Photometer s (NISP) Warm Electronics (WE) in the EUCLID mission * AIV NISP WE Fulvio Laudisio Euclid Mission NISP WE AIV My activity

More information

Flight Computer: Managing the Complexity

Flight Computer: Managing the Complexity Flight Computer: Managing the Complexity L.Bouchpan-Lerust-Juery ESTEC/TEC-SWS:lionel.bouchpan-lerust-juery@esa.int W.Gasti ESTEC/TEC-ED:wahida.gasti@esa.int 1 Agenda Introduction Computer of Previous

More information

The LabVIEW RIO Architecture and the Newest Member to the CompactRIO Family

The LabVIEW RIO Architecture and the Newest Member to the CompactRIO Family The LabVIEW RIO Architecture and the Newest Member to the CompactRIO Family Brett Burger Sr. Product Manager What is CompactRIO? IP Camera GigE Camera Analog Frame Grabber Analog Camera Motor Drivers and

More information

Schematic. A: Overview of the Integrated Detector Readout Electronics and DAQ-System. optical Gbit link. 1GB DDR Ram.

Schematic. A: Overview of the Integrated Detector Readout Electronics and DAQ-System. optical Gbit link. 1GB DDR Ram. A: Overview of the Integrated Detector Readout Electronics and DAQ-System N s CASCADE Detector Frontend (X0) (X) (Y0) (Y) optional: CIPix- Board (T) Optical Gigabit Link CDR.0 FPGA based readout board

More information

STEMlab STEMlab STEMLab (orignallly Red Pitaya v1.1) vs. STEMLab

STEMlab STEMlab STEMLab (orignallly Red Pitaya v1.1) vs. STEMLab STEMlab 125-14 STEMlab 125-10 STEMLab 125-14 (orignallly Red Pitaya v1.1) vs. STEMLab 125-10 STEMLab is available in two versions and both offer the same functions and features. With the difference in

More information

Basic FPGA Architectures. Actel FPGAs. PLD Technologies: Antifuse. 3 Digital Systems Implementation Programmable Logic Devices

Basic FPGA Architectures. Actel FPGAs. PLD Technologies: Antifuse. 3 Digital Systems Implementation Programmable Logic Devices 3 Digital Systems Implementation Programmable Logic Devices Basic FPGA Architectures Why Programmable Logic Devices (PLDs)? Low cost, low risk way of implementing digital circuits as application specific

More information

(HALT & HASS) Helping to reduce time to market and improve cost efficiency

(HALT & HASS) Helping to reduce time to market and improve cost efficiency Highly Accelerated Life Tests and Highly Accelerated Stress Screens (HALT & HASS) Helping to reduce time to market and improve cost efficiency Chris Bowles TÜV Product Service Tel: +44 (0)1489 558150 Email:

More information

Microelectronics Presentation Days March 2010

Microelectronics Presentation Days March 2010 Microelectronics Presentation Days March 2010 FPGA for Space Bernard Bancelin for David Dangla Atmel ASIC BU Aerospace Product Line Everywhere You Are Atmel Radiation Hardened FPGAs Re-programmable (SRAM

More information

SINGLE BOARD COMPUTER FOR SPACE

SINGLE BOARD COMPUTER FOR SPACE SINGLE BOARD COMPUTER FOR SPACE Proven in Space Best Single Event Performance Seamless Error Correction Wide Range of Processing Power Highest Design Margin SCS750 FLIGHT MODULE Overview of Specifications

More information

High temperature / radiation hardened capable ARM Cortex -M0 microcontrollers

High temperature / radiation hardened capable ARM Cortex -M0 microcontrollers High temperature / radiation hardened capable ARM Cortex -M0 microcontrollers R. Bannatyne, D. Gifford, K. Klein, C. Merritt VORAGO Technologies 2028 E. Ben White Blvd., Suite #220, Austin, Texas, 78741,

More information

SpaceWire ECSS-E50-12A International SpaceWire Seminar (ISWS 2003)

SpaceWire ECSS-E50-12A International SpaceWire Seminar (ISWS 2003) SpaceWire ECSS-E50-12A International SpaceWire Seminar (ISWS 2003) 4-5 November 2003, ESTEC Noordwijk, The Netherlands Steve Parkes (1), Josep Rosello (2) (1) University of Dundee, Applied Computing, Dundee,

More information

Digital Integrated Circuits

Digital Integrated Circuits Digital Integrated Circuits Lecture 9 Jaeyong Chung Robust Systems Laboratory Incheon National University DIGITAL DESIGN FLOW Chung EPC6055 2 FPGA vs. ASIC FPGA (A programmable Logic Device) Faster time-to-market

More information

7RUQGDGR:DUQLQJµ. Tornado 10 An Advanced High Speed Solid State Data Recorder. Elmer Funderburk (512) x2179

7RUQGDGR:DUQLQJµ. Tornado 10 An Advanced High Speed Solid State Data Recorder. Elmer Funderburk (512) x2179 7RUQGDGR:DUQLQJµ Tornado 10 An Advanced High Speed Solid State Data Recorder Elmer Funderburk (512)306-1100 x2179 funderburk@spec.com Current Address: 4310 Westbank Dr., Austin, TX USA 78746-6558 After

More information

SpaceWire Backplane Application Requirements

SpaceWire Backplane Application Requirements SpaceWire Backplane Application Requirements Alan Senior 15 th December 2011 Classification Summary The work presented is part of the ESA funded SpaceWire Backplane activity reference TEC EPD/2010.88 The

More information

ATMEL ATF280E Rad Hard SRAM Based FPGA. Bernard BANCELIN ATMEL Nantes SAS, Aerospace Business Unit

ATMEL ATF280E Rad Hard SRAM Based FPGA. Bernard BANCELIN ATMEL Nantes SAS, Aerospace Business Unit ATMEL ATF280E Rad Hard SRAM Based FPGA Bernard BANCELIN ATMEL Nantes SAS, Aerospace Business Unit Overview Atmel FPGA Key Points and Architecture ATF280E Radiation Test Results 2 Overview Atmel FPGA Key

More information

S2C K7 Prodigy Logic Module Series

S2C K7 Prodigy Logic Module Series S2C K7 Prodigy Logic Module Series Low-Cost Fifth Generation Rapid FPGA-based Prototyping Hardware The S2C K7 Prodigy Logic Module is equipped with one Xilinx Kintex-7 XC7K410T or XC7K325T FPGA device

More information