Digital Integrated Circuits

Size: px
Start display at page:

Download "Digital Integrated Circuits"

Transcription

1 Digital Integrated Circuits Term Project v0.95 Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University

2 Term Project Dealing with real-world designs/problems Analyze and learn well-designed systems and codes Point to what you should learn even after this course Make what you can show off (for your interview) Do not write from scratch Modify existing modules and integrate Taking advantage of open-source hardware Dealing with more real-world designs/problems Mix & Match Chung EPC6055 2

3 Term Projects A team consists of up to 3 people Choose one of the given topics Team s project should be standalone If done, move on Mix & Match phase Mix & Match phase More than two teams work together based on their individual projects, creating a more complex system A large, extra credit will be awarded Grading Projects will be ranked within the same topic Difference of difficulty between topics will be adjusted Chung EPC6055 3

4 Deliverables Deliverables Proposal Presentation Slides Source codes Final reports Chung EPC6055 4

5 Topics (difficult) RISC-V core & System bus (new in 2017) (difficult) Matrix Multiplication (new in 2017) (moderate) CIS Controller (FPGA needed, up to 10 teams) (moderate) VGA Controller (FPGA needed) (moderate) UART (FPGA needed) (easiest) LAVA2 Chung EPC6055 5

6 CIS Controller OmniVision OV7670 Camera Module Reading materials Reference code Camera/CameraSetup.v Camera/OV7670Init.v Camera/RGB565Receive.v Camera/SCCBCtrl.v Chung EPC6055 6

7 CIS Controller Examples FPGA Image Data Initialize via SCCB CIS ctrl fnd ctrl Chung EPC6055 7

8 OV7670 Pin Numbers ##### Camera ##### NET "ca_vdd" LOC = "C26" IOSTANDARD=LVCMOS33 ; NET "ca_sioc" LOC = "E23" IOSTANDARD=LVCMOS33; NET "ca_vsync" LOC = "D26" IOSTANDARD=LVCMOS33; NET "ca_pclk" LOC = "E26" IOSTANDARD=LVCMOS33 SLEW=SLOW CLOCK_DEDICATED_ROUTE = FALSE; NET "ca_data<7>" LOC = "G21" IOSTANDARD=LVCMOS33 ; NET "ca_data<5>" LOC = "F24" IOSTANDARD=LVCMOS33 ; NET "ca_data<3>" LOC = "G23" IOSTANDARD=LVCMOS33 ; NET "ca_data<1>" LOC = "G25" IOSTANDARD=LVCMOS33 ; #NET "ca_pwdn" LOC = H20" IOSTANDARD=LVCMOS33 ; ##### put 1'b0 to pwdn NET "ca_gnd" LOC = F22" IOSTANDARD=LVCMOS33 ; NET "ca_siod" LOC = "E24" IOSTANDARD=LVCMOS33 PULLUP ; NET "ca_href" LOC = "E25" IOSTANDARD=LVCMOS33; NET "ca_xclk" LOC = "G20" IOSTANDARD=LVCMOS33 ; NET "ca_data<6>" LOC = "F23" IOSTANDARD=LVCMOS33 ; NET "ca_data<4>" LOC = "G22" IOSTANDARD=LVCMOS33 ; NET "ca_data<2>" LOC = "F26" IOSTANDARD=LVCMOS33 ; NET "ca_data<0>" LOC = "G26" IOSTANDARD=LVCMOS33 ; #NET "ca_rst" LOC = H21" IOSTANDARD=LVCMOS33 ; #### The OV7670 which have 18 pins need to set "ca_pwdn" and "ca_rst" Chung EPC6055 8

9 To set OV7670 on Ext. port of FPGA Chung EPC6055 9

10 FND Pin Map Chung EPC

11 RISC-V Core RISC-V Recommended for who took computer architecture Reading Materials: Should be interested in computer architecture Should be familiar with Linux Chung EPC

12 RISC-V Core Things you can do 1) Generate your CPU cores with the tools provided 2) Write a simple test program in assembly or C 3) Run RTL Simulation 4) Try to synthesize verilog using vivado and run a program on FPGA Chung EPC

13 Matrix Multiplication Matrix Multiplication is a fundamental operation in artificial intelligence (AI) You are to design an efficient MM hardware unit = Chung EPC

14 Broadcast-based col vector 1 col vector 2 col vector n Row vector 1 Inner Product Row vector 2 Row vector n Chung EPC

15 Systolic Array col vector 1 col vector 2 col vector n Row vector 1 Row vector 2 Row vector n Chung EPC

16 Google s TPU Chung EPC

17 References Design and Analysis of a Hardware CNN Accelerator Improving the Performance of OpenCL-based FPGA Accelerator for Convolutional Neural Network Chung EPC

18 VGA Controller VGA controller Reading material and source codes: FPGA PROTOTYPING BY VERILOG EXAMPLES, Pong P. Chu (You can find PDF by googling) Chapter 13, VGA Controller I Chung EPC

19 VGA Controller Examples Chung EPC

20 VGA Pin Numbers ##### VGA ###### NET "B<0>" LOC = "G9"; NET "B<1>" LOC = "F9"; NET "B<2>" LOC = "E9"; NET "B<3>" LOC = "D9"; NET "B<4>" LOC = "F5"; NET "B<5>" LOC = "F6"; NET "B<6>" LOC = "E3"; NET "B<7>" LOC = "E4"; NET "G<0>" LOC = "D2"; NET "G<1>" LOC = "G6"; NET "G<2>" LOC = "G7"; NET "G<3>" LOC = "E1"; NET "G<4>" LOC = "E2"; NET "G<5>" LOC = "F3"; NET "G<6>" LOC = "F4"; NET "G<7>" LOC = "G4"; NET "R<0>" LOC = "G5"; NET "R<1>" LOC = "F1"; NET "R<2>" LOC = "F2"; NET "R<3>" LOC = "H6"; NET "R<4>" LOC = "G1"; NET "R<5>" LOC = "G2"; NET "R<6>" LOC = "J6"; NET "R<7>" LOC = "H3"; NET "VGA_PCLK" LOC = "R3"; NET "h_sync" LOC = "M6"; NET "v_sync" LOC = "M8"; NET "VGA_PWM" LOC ="H2"; ### put 1'b1 (like enable) NET "VGA_DEN" LOC ="K7"; #### put 1'b1 (like enable) Chung EPC

21 UART Universal Asynchronous Receiver Transmitter (UART) Common/simple way to communicate with CPUs Reading material and source codes: FPGA PROTOTYPING BY VERILOG EXAMPLES, Pong P. Chu (You can find PDF by googling) Chapter 8, UART Terminal Programs Chung EPC

22 UART Examples FPGA Terminal program keypad ctrl UART rs232 cable VGA ctrl UART B U S fnd ctrl PS2 ctrl CPU Chung EPC

23 UART Pin Numbers ##### UART ##### NET "UART_RX" LOC = "P2"; NET "UART_TX" LOC = "P3"; Chung EPC

24 ICE2 ICE2 Recommended for who took digital signal processing Not required to run it on FPGA Enhance ICE as you want Chung EPC

25 ICE2 Examples Detect a specific pattern and draw a box enclosing it as in face defectors (Study 2D Matched Filter) f Detect objects with a specific color and draw a box enclosing it as in face detectors Chung EPC

26 LAVA2 LAVA2 Recommended for who took computer architecture Not required to run it on FPGA Enhance LAVA to be more like a real-cpu Add new instructions such as branch Analyze Educational 16-bit MIPS Processor Reference Code: Chung EPC

27 Mix & match examples ARM core + UART LAVA2 + UART Camera Controller + UART VGA Controller + UART CIS Controller + VGA Controller CIS Controller + VGA Controller + ICE2 Chung EPC

28 Dual-Port Memory on FPGA To generate memory(block memory) right click menu Chung EPC

29 Dual-Port Memory on FPGA *You should remember your Location path. Chung EPC

30 Dual-Port Memory on FPGA Chung EPC

31 Dual-Port Memory on FPGA *For this example, depth is > address will be 8bits Chung EPC

32 Dual-Port Memory on FPGA * Page 2,3 and 4 are not important. Our memory is simple. * If you don t need to initialize the memory, click the Generate and pass the next two slides. * If not, refer to next slide. Chung EPC

33 Dual-Port Memory on FPGA To initialize memory * Make a file to initialize memory. File extension is coe * Refer the example file as pp_test_init.coe * Check the Load Init File and select made file. Chung EPC

34 Dual-Port Memory on FPGA You can check the initialized memory. Chung EPC

35 Dual-Port Memory on FPGA Chung EPC

36 Dual-Port Memory on FPGA Chung EPC

37 Dual-Port Memory on FPGA Open the your_memory.v Chung EPC

38 Dual-Port Memory on FPGA Refer this file, you can exactly instantiate your memory. You don t care after this line. Chung EPC

39 Dual-Port Memory on FPGA We made 2 ports memory. Lastly, fill the blank for your project. Chung EPC

Embedded System Design

Embedded System Design Embedded System Design Term Project Porting(v1.04) Jaeyong Chung SoC Laboratory Incheon National University Porting The reason why you install an OS on your embedded system is not only to do multi-tasking

More information

ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University. Laboratory Exercise #1 Using the Vivado

ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University. Laboratory Exercise #1 Using the Vivado ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University Prof. Sunil P Khatri (Lab exercise created and tested by Ramu Endluri, He Zhou, Andrew Douglass

More information

PREFACE. Changes to the SOPC Edition

PREFACE. Changes to the SOPC Edition PREFACE Changes to the SOPC Edition Rapid Prototyping of Digital Systems provides an exciting and challenging laboratory component for undergraduate digital logic and computer design courses using FPGAs

More information

ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University. Laboratory Exercise #1 Using the Vivado

ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University. Laboratory Exercise #1 Using the Vivado ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University Prof. Sunil P. Khatri Lab exercise created and tested by: Abbas Fairouz, Ramu Endluri, He Zhou,

More information

Embedded Systems. Software Development & Education Center. (Design & Development with Various µc)

Embedded Systems. Software Development & Education Center. (Design & Development with Various µc) Software Development & Education Center Embedded Systems (Design & Development with Various µc) Module 1: Embedded C Programming INTRODUCTION TO EMBEDDED SYSTEM History & need of Embedded System Basic

More information

Graduate Institute of Electronics Engineering, NTU Advanced VLSI SOPC design flow

Graduate Institute of Electronics Engineering, NTU Advanced VLSI SOPC design flow Advanced VLSI SOPC design flow Advisor: Speaker: ACCESS IC LAB What s SOC? IP classification IP reusable & benefit Outline SOPC solution on FPGA SOPC design flow pp. 2 What s SOC? Definition of SOC Advantage

More information

CMOS OV5640C AF Camera Module 1/4-Inch 5-Megapixel Module Datasheet

CMOS OV5640C AF Camera Module 1/4-Inch 5-Megapixel Module Datasheet CMOS OV5640C AF Camera Module 1/4-Inch 5-Megapixel Module Datasheet Rev 1.0, June 2015 Table of Contents 1 Introduction... 2 2 Features... 3 3 Key Specifications... 3 4 Block Diagram... 4 5 Application...

More information

CPE 200L LABORATORY 4: INTRODUCTION TO DE2 BOARD UNIVERSITY OF NEVADA, LAS VEGAS GOALS: BACKGROUND:

CPE 200L LABORATORY 4: INTRODUCTION TO DE2 BOARD UNIVERSITY OF NEVADA, LAS VEGAS GOALS: BACKGROUND: CPE 200L LABORATORY 4: INTRODUCTION TO DE2 BOARD DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING UNIVERSITY OF NEVADA, LAS VEGAS GOALS: Getting familiar with DE2 board installation, properties, usage.

More information

Digital Integrated Circuits

Digital Integrated Circuits Digital Integrated Circuits Lecture 9 Jaeyong Chung Robust Systems Laboratory Incheon National University DIGITAL DESIGN FLOW Chung EPC6055 2 FPGA vs. ASIC FPGA (A programmable Logic Device) Faster time-to-market

More information

Computing to the Energy and Performance Limits with Heterogeneous CPU-FPGA Devices. Dr Jose Luis Nunez-Yanez University of Bristol

Computing to the Energy and Performance Limits with Heterogeneous CPU-FPGA Devices. Dr Jose Luis Nunez-Yanez University of Bristol Computing to the Energy and Performance Limits with Heterogeneous CPU-FPGA Devices Dr Jose Luis Nunez-Yanez University of Bristol Power and energy savings at run-time Power = α.c.v 2.f+g1.V 3 Energy =

More information

Copyright 2014 Xilinx

Copyright 2014 Xilinx IP Integrator and Embedded System Design Flow Zynq Vivado 2014.2 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able

More information

ECEN 651: Microprogrammed Control of Digital Systems Department of Electrical and Computer Engineering Texas A&M University

ECEN 651: Microprogrammed Control of Digital Systems Department of Electrical and Computer Engineering Texas A&M University ECEN 651: Microprogrammed Control of Digital Systems Department of Electrical and Computer Engineering Texas A&M University Prof. Mi Lu TA: Ehsan Rohani Laboratory Exercise #3 Storage Elements in Verilog

More information

Xilinx Vivado/SDK Tutorial

Xilinx Vivado/SDK Tutorial Xilinx Vivado/SDK Tutorial (Laboratory Session 1, EDAN15) Flavius.Gruian@cs.lth.se March 21, 2017 This tutorial shows you how to create and run a simple MicroBlaze-based system on a Digilent Nexys-4 prototyping

More information

High School PLTW Digital Electronics Curriculum

High School PLTW Digital Electronics Curriculum Grade 11th - 12th, 1 Credit Elective Course Prerequisites: College Algebra or College Algebra B or Accelerated Algebra II High School PLTW Digital Electronics Curriculum Course Description: This course

More information

Universal Asynchronous Receiver/Transmitter Core

Universal Asynchronous Receiver/Transmitter Core Datasheet iniuart Universal Asynchronous Receiver/Transmitter Core Revision 2.0 INICORE INC. 5600 Mowry School Road Suite 180 Newark, CA 94560 t: 510 445 1529 f: 510 656 0995 e: info@inicore.com www.inicore.com

More information

Introduction to Embedded System Design using Zynq

Introduction to Embedded System Design using Zynq Introduction to Embedded System Design using Zynq Zynq Vivado 2015.2 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able

More information

The assignments will help you learn Verilog as a Hardware Description Language and how hardware circuits can be developed using Verilog and FPGA.

The assignments will help you learn Verilog as a Hardware Description Language and how hardware circuits can be developed using Verilog and FPGA. General Instructions The assignments will help you learn Verilog as a Hardware Description Language and how hardware circuits can be developed using Verilog and FPGA. You have to come to the lab during

More information

Digital Integrated Circuits

Digital Integrated Circuits Digital Integrated Circuits Introduction to the course Jaeyong Chung SoC Laboratory Incheon National University Course Information Schedule (EPC6055001) Mon 6:55pm - 8:40pm (SI433), Tue 7:50pm - 9:35pm

More information

Digital Integrated Circuits

Digital Integrated Circuits Digital Integrated Circuits Lecture 3 Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University GENERAL MODEL OF MEALY MACHINE Chung EPC6055 2 GENERAL MODEL OF MOORE MACHINE Chung EPC6055

More information

Chapter 1 Overview of Digital Systems Design

Chapter 1 Overview of Digital Systems Design Chapter 1 Overview of Digital Systems Design SKEE2263 Digital Systems Mun im/ismahani/izam {munim@utm.my,e-izam@utm.my,ismahani@fke.utm.my} February 8, 2017 Why Digital Design? Many times, microcontrollers

More information

Exp#8: Designing a Programmable Sequence Detector

Exp#8: Designing a Programmable Sequence Detector Exp#8: Designing a Programmable Sequence Detector Objectives Learning how to partition a system into data-path and control unit. Integrating Schematics and Verilog code together Overview In this lab you

More information

CS150 Project Final Report

CS150 Project Final Report CS150 Project Final Report Max Nuyens and Casey Duckering cs150 bp and cs150 bo Team 1 12/12/14 Project Functional Description and Design Requirements: The objective of our project was to implement a functional

More information

EPC6055 Digital Integrated Circuits EXAM 1 Fall Semester 2013

EPC6055 Digital Integrated Circuits EXAM 1 Fall Semester 2013 EPC6055 Digital Integrated Circuits EXAM 1 Fall Semester 2013 Print Here Student ID Signature This is a closed book exam. The exam is to be completed in one-hundred ten (110) minutes. Don t use scratch

More information

Fun Low-power Observer-interactive Waterfall Sponsored by Orlando Utility Commision

Fun Low-power Observer-interactive Waterfall Sponsored by Orlando Utility Commision Fun Low-power Observer-interactive Waterfall Sponsored by Orlando Utility Commision Group 5 - Blue Team Connor Heckman - CPE Ben King - EE Robert Perkins - EE Jack Gray - EE Motivation Existing solar sculptures

More information

Speculations about Computer Architecture in Next Three Years. Jan. 20, 2018

Speculations about Computer Architecture in Next Three Years. Jan. 20, 2018 Speculations about Computer Architecture in Next Three Years shuchang.zhou@gmail.com Jan. 20, 2018 About me https://zsc.github.io/ Source-to-source transformation Cache simulation Compiler Optimization

More information

EN2911X: Reconfigurable Computing Lecture 01: Introduction

EN2911X: Reconfigurable Computing Lecture 01: Introduction EN2911X: Reconfigurable Computing Lecture 01: Introduction Prof. Sherief Reda Division of Engineering, Brown University Fall 2009 Methods for executing computations Hardware (Application Specific Integrated

More information

microprocessor Dice Game Designed in VIVADO & Implemented on NEXYS 4 DDR FPGA Board

microprocessor Dice Game Designed in VIVADO & Implemented on NEXYS 4 DDR FPGA Board microprocessor Dice Game Designed in VIVADO & Implemented on NEXYS 4 DDR FPGA Board Matthew Guirguis, Christopher Mathewson Electrical and Computer Engineering Department School of Engineering and Computer

More information

Advanced course on Embedded Systems design using FPGA

Advanced course on Embedded Systems design using FPGA Advanced course on Embedded Systems design using FPGA Subramaniam Ganesan, Phares A. Noel, Ashok Prajapati Oakland University, ganesan@oakland.edu, panoel@oakland.edu, akprajap@oakland.edu Abstract-As

More information

EE432 Advanced Digital Design with HDL Term Project

EE432 Advanced Digital Design with HDL Term Project EE432 Advanced Digital Design with HDL Term Project Spring 2013 Instructor Tom Almy I (the instructor) am always looking for ways to make the courses interesting, exciting, and educational. I've found

More information

A So%ware Developer's Journey into a Deeply Heterogeneous World. Tomas Evensen, CTO Embedded So%ware, Xilinx

A So%ware Developer's Journey into a Deeply Heterogeneous World. Tomas Evensen, CTO Embedded So%ware, Xilinx A So%ware Developer's Journey into a Deeply Heterogeneous World Tomas Evensen, CTO Embedded So%ware, Xilinx Embedded Development: Then Simple single CPU Most code developed internally 10 s of thousands

More information

Engineer To Engineer Note. Interfacing the ADSP-BF535 Blackfin Processor to Single-CHIP CIF Digital Camera "OV6630" over the External Memory Bus

Engineer To Engineer Note. Interfacing the ADSP-BF535 Blackfin Processor to Single-CHIP CIF Digital Camera OV6630 over the External Memory Bus Engineer To Engineer Note EE-181 a Technical Notes on using Analog Devices' DSP components and development tools Contact our technical support by phone: (800) ANALOG-D or e-mail: dsp.support@analog.com

More information

To be familiar with the USART (RS-232) protocol. To be familiar with one type of internal storage system in PIC (EEPROM).

To be familiar with the USART (RS-232) protocol. To be familiar with one type of internal storage system in PIC (EEPROM). Lab # 6 Serial communications & EEPROM Objectives To be familiar with the USART (RS-232) protocol. To be familiar with one type of internal storage system in PIC (EEPROM). Serial Communications Serial

More information

Specializing Hardware for Image Processing

Specializing Hardware for Image Processing Lecture 6: Specializing Hardware for Image Processing Visual Computing Systems So far, the discussion in this class has focused on generating efficient code for multi-core processors such as CPUs and GPUs.

More information

RFNoC Neural-Network Library using Vivado HLS (rfnoc-hls-neuralnet) EJ Kreinar Team E to the J Omega

RFNoC Neural-Network Library using Vivado HLS (rfnoc-hls-neuralnet) EJ Kreinar Team E to the J Omega RFNoC Neural-Network Library using Vivado HLS (rfnoc-hls-neuralnet) EJ Kreinar Team E to the J Omega Overview An RFNoC out-of-tree module that can be used to simulate, synthesize, and run a neural network

More information

Accelerating Implementation of Low Power Artificial Intelligence at the Edge

Accelerating Implementation of Low Power Artificial Intelligence at the Edge Accelerating Implementation of Low Power Artificial Intelligence at the Edge A Lattice Semiconductor White Paper November 2018 The emergence of smart factories, cities, homes and mobile are driving shifts

More information

MORFPGA: A Modularized FPGA Development Platform for IC Design Education and Contests

MORFPGA: A Modularized FPGA Development Platform for IC Design Education and Contests MORFPGA: A Modularized FPGA Development Platform for IC Design Education and Contests Chun-Ming Huang 1, Yu-Tsang Chang 2, Ju-Yun Hsieh 3, Chien-Ming Wu 4,Chen-Yen Lin 5, Hsi-Tse Wu 6, Wei-De Chien 7,

More information

Zynq-7000 All Programmable SoC: Concepts, Tools, and Techniques (CTT)

Zynq-7000 All Programmable SoC: Concepts, Tools, and Techniques (CTT) Zynq-7000 All Programmable SoC: Concepts, Tools, and Techniques (CTT) A Hands-On Guide to Effective Embedded System Design Notice of Disclaimer The information disclosed to you hereunder (the Materials

More information

The University of Reduced Instruction Set Computer (MARC)

The University of Reduced Instruction Set Computer (MARC) The University of Reduced Instruction Set Computer (MARC) Abstract We present our design of a VHDL-based, RISC processor instantiated on an FPGA for use in undergraduate electrical engineering courses

More information

Digital Integrated Circuits

Digital Integrated Circuits Digital Integrated Circuits Lecture 4 Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University BCD TO EXCESS-3 CODE CONVERTER 0100 0101 +0011 +0011 0111 1000 LSB received first Chung

More information

URL: Offered by: Should already know how to design with logic. Will learn...

URL:  Offered by: Should already know how to design with logic. Will learn... 00 1 EE 3755 Computer Organization 00 1 URL: http://www.ece.lsu.edu/ee3755 Offered by: David M. Koppelman Room 3191 P. Taylor Hall 578-5482, koppel@ece.lsu.edu, http://www.ece.lsu.edu/koppel Tentative

More information

ECE 152A LABORATORY 2

ECE 152A LABORATORY 2 ECE 152A LABORATORY 2 Objectives : 1. Understand the trade-off between time- and space-efficiency in the design of adders. In this lab, adders operate on unsigned numbers. 2. Learn how to write Verilog

More information

The Altera UP 3 Board

The Altera UP 3 Board The Altera UP 3 Board Photo: The Altera UP 3 board contains a Cyclone FPGA, external SRAM, SDRAM & Flash memory, and a wide assortment of 110 devices and connectors. 36 Rapid Prototyping of Digital Systems

More information

Design of Digital Circuits

Design of Digital Circuits Design of Digital Circuits Lecture 3: Introduction to the Labs and FPGAs Prof. Onur Mutlu (Lecture by Hasan Hassan) ETH Zurich Spring 2018 1 March 2018 1 Lab Sessions Where? HG E 19, HG E 26.1, HG E 26.3,

More information

ECE 491 Laboratory 1 Introducing FPGA Design with Verilog September 6, 2004

ECE 491 Laboratory 1 Introducing FPGA Design with Verilog September 6, 2004 Goals ECE 491 Laboratory 1 Introducing FPGA Design with Verilog September 6, 2004 1. To review the use of Verilog for combinational logic design. 2. To become familiar with using the Xilinx ISE software

More information

Lab Manual for COE 203: Digital Design Lab

Lab Manual for COE 203: Digital Design Lab Lab Manual for COE 203: Digital Design Lab 1 Table of Contents 1. Prototyping of Logic Circuits using Discrete Components...3 2. Prototyping of Logic Circuits using EEPROMs...9 3. Introduction to FPGA

More information

An overview of mobile and embedded platforms

An overview of mobile and embedded platforms ES3 Lecture 2 An overview of mobile and embedded platforms Basic Classes Embedded devices: These are in toasters, cars, mice, etc. Usually very real-time focused (us accuracy) Very small memory, not usually

More information

NS115 System Emulation Based on Cadence Palladium XP

NS115 System Emulation Based on Cadence Palladium XP NS115 System Emulation Based on Cadence Palladium XP wangpeng 新岸线 NUFRONT Agenda Background and Challenges Porting ASIC to Palladium XP Software Environment Co Verification and Power Analysis Summary Background

More information

Serial Communications

Serial Communications April 2014 7 Serial Communications Objectives - To be familiar with the USART (RS-232) protocol. - To be able to transfer data from PIC-PC, PC-PIC and PIC-PIC. - To test serial communications with virtual

More information

Embedded Systems Dr. Santanu Chaudhury Department of Electrical Engineering Indian Institute of Technology, Delhi. Lecture - 10 System on Chip (SOC)

Embedded Systems Dr. Santanu Chaudhury Department of Electrical Engineering Indian Institute of Technology, Delhi. Lecture - 10 System on Chip (SOC) Embedded Systems Dr. Santanu Chaudhury Department of Electrical Engineering Indian Institute of Technology, Delhi Lecture - 10 System on Chip (SOC) In the last class, we had discussed digital signal processors.

More information

Embedded System Design

Embedded System Design Embedded System Design Lecture 4 Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University CPUs Takes inputs from input devices (sensors, keyboards, ) Process inputs and produce results

More information

Digital Blocks Semiconductor IP

Digital Blocks Semiconductor IP Digital Blocks Semiconductor IP TFT Controller General Description The Digital Blocks TFT Controller IP Core interfaces a microprocessor and frame buffer memory via the AMBA 2.0 to a TFT panel. In an FPGA,

More information

TIM-UP 19k-S3-Spartan 6

TIM-UP 19k-S3-Spartan 6 TIM-UP 19k-S3-Spartan 6 Software User Manual Contact Bluetechnix Waidhausenstraße 3/19 A-1140 Vienna AUSTRIA office@bluetechnix.com http://www.bluetechnix.com Date: 2014-05-27 Template No.: 900-306 / A

More information

S100 Series. Compact Smart Camera. High Performance: Dual Core Cortex-A9 processor and Xilinx FPGA. acquisition and preprocessing

S100 Series. Compact Smart Camera. High Performance: Dual Core Cortex-A9 processor and Xilinx FPGA. acquisition and preprocessing S100 Series Compact Smart Camera High Performance: Dual Core Cortex-A9 processor and Xilinx FPGA IP-67 Rated enclosure Programmable FPGA for image acquisition and preprocessing Multiple resolution: VGA,

More information

Parallella Linux - quickstart guide. Antmicro Ltd

Parallella Linux - quickstart guide. Antmicro Ltd Parallella Linux - quickstart guide Antmicro Ltd June 13, 2016 Contents 1 Introduction 1 1.1 Xilinx tools.......................................... 1 1.2 Version information.....................................

More information

EE324: Microcontrollers and Interfacing. Office Hours: Mon, Wed, to 11.30am. Microcontrollers and Interfacing

EE324: Microcontrollers and Interfacing. Office Hours: Mon, Wed, to 11.30am. Microcontrollers and Interfacing EE324: Microcontrollers and Interfacing Instructor s Name: Mohammad Jahangir Ikram Office No. & Email: 9-317A jikram@lums.edu.pk Office Hours: Mon, Wed, 10.00 to 11.30am Category: Junior TA for the Course:

More information

Motor Control: Model-Based Design from Concept to Implementation on heterogeneous SoC FPGAs Alexander Schreiber, MathWorks

Motor Control: Model-Based Design from Concept to Implementation on heterogeneous SoC FPGAs Alexander Schreiber, MathWorks Motor Control: Model-Based Design from Concept to Implementation on heterogeneous SoC FPGAs Alexander Schreiber, MathWorks 2014 The MathWorks, Inc. 1 Some components of a production application Production

More information

Reminder. Course project team forming deadline. Course project ideas. Next milestone

Reminder. Course project team forming deadline. Course project ideas. Next milestone Reminder Course project team forming deadline Thursday 9/6 11:59pm You will be randomly assigned to a team after the deadline Course project ideas If you have difficulty in finding team mates, send your

More information

NIOS CPU Based Embedded Computer System on Programmable Chip

NIOS CPU Based Embedded Computer System on Programmable Chip 1 Objectives NIOS CPU Based Embedded Computer System on Programmable Chip EE8205: Embedded Computer Systems This lab has been constructed to introduce the development of dedicated embedded system based

More information

Embedded System Design

Embedded System Design Embedded System Design Lecture 5 Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University What We Have Covered So Far Instruction Set Architecture How CPU interacts with I/O controllers

More information

An efficient FPGA implementation of the Harris Corner feature detector

An efficient FPGA implementation of the Harris Corner feature detector 5-16 MVA2015 IAPR International Conference on Machine Vision Applications, May 18-22, 2015, Tokyo, JAPAN An efficient FPGA implementation of the Harris Corner feature detector Tak Lon Chao The Dept. of

More information

THE NVIDIA DEEP LEARNING ACCELERATOR

THE NVIDIA DEEP LEARNING ACCELERATOR THE NVIDIA DEEP LEARNING ACCELERATOR INTRODUCTION NVDLA NVIDIA Deep Learning Accelerator Developed as part of Xavier NVIDIA s SOC for autonomous driving applications Optimized for Convolutional Neural

More information

QT3 Xplained Pro. Preface. Atmel QTouch USER GUIDE

QT3 Xplained Pro. Preface. Atmel QTouch USER GUIDE Atmel QTouch QT3 Xplained Pro USER GUIDE Preface The Atmel QT3 Xplained Pro is an extension board, which enables the evaluation of a capacitive touch 12 key numpad in mutual capacitance configuration.

More information

Verilog Module 1 Introduction and Combinational Logic

Verilog Module 1 Introduction and Combinational Logic Verilog Module 1 Introduction and Combinational Logic Jim Duckworth ECE Department, WPI 1 Module 1 Verilog background 1983: Gateway Design Automation released Verilog HDL Verilog and simulator 1985: Verilog

More information

S2C K7 Prodigy Logic Module Series

S2C K7 Prodigy Logic Module Series S2C K7 Prodigy Logic Module Series Low-Cost Fifth Generation Rapid FPGA-based Prototyping Hardware The S2C K7 Prodigy Logic Module is equipped with one Xilinx Kintex-7 XC7K410T or XC7K325T FPGA device

More information

Simplify System Complexity

Simplify System Complexity Simplify System Complexity With the new high-performance CompactRIO controller Fanie Coetzer Field Sales Engineer Northern South Africa 2 3 New control system CompactPCI MMI/Sequencing/Logging FieldPoint

More information

Team 1. Common Questions to all Teams. Team 2. Team 3. CO200-Computer Organization and Architecture - Assignment One

Team 1. Common Questions to all Teams. Team 2. Team 3. CO200-Computer Organization and Architecture - Assignment One CO200-Computer Organization and Architecture - Assignment One Note: A team may contain not more than 2 members. Format the assignment solutions in a L A TEX document. E-mail the assignment solutions PDF

More information

Embedded Robotics. Software Development & Education Center

Embedded Robotics. Software Development & Education Center Software Development & Education Center Embedded Robotics Robotics Development with 8051 µc INTRODUCTION TO ROBOTICS Types of robots Legged robots Mobile robots Autonomous robots Manual robots Robotic

More information

NIOS CPU Based Embedded Computer System on Programmable Chip

NIOS CPU Based Embedded Computer System on Programmable Chip NIOS CPU Based Embedded Computer System on Programmable Chip 1 Lab Objectives EE8205: Embedded Computer Systems NIOS-II SoPC: PART-I This lab has been constructed to introduce the development of dedicated

More information

NVIDIA'S DEEP LEARNING ACCELERATOR MEETS SIFIVE'S FREEDOM PLATFORM. Frans Sijstermans (NVIDIA) & Yunsup Lee (SiFive)

NVIDIA'S DEEP LEARNING ACCELERATOR MEETS SIFIVE'S FREEDOM PLATFORM. Frans Sijstermans (NVIDIA) & Yunsup Lee (SiFive) NVIDIA'S DEEP LEARNING ACCELERATOR MEETS SIFIVE'S FREEDOM PLATFORM Frans Sijstermans (NVIDIA) & Yunsup Lee (SiFive) NVDLA NVIDIA DEEP LEARNING ACCELERATOR IP Core for deep learning part of NVIDIA s Xavier

More information

INDUSTRIAL TRAINING:6 MONTHS PROGRAM TEVATRON TECHNOLOGIES PVT LTD

INDUSTRIAL TRAINING:6 MONTHS PROGRAM TEVATRON TECHNOLOGIES PVT LTD MODULE-1 C Programming Language Introduction to C Objectives of C Applications of C Relational and logical operators Bit wise operators The assignment statement Intermixing of data types type conversion

More information

Lecture 1: Introduction Course arrangements Recap of basic digital design concepts EDA tool demonstration

Lecture 1: Introduction Course arrangements Recap of basic digital design concepts EDA tool demonstration TKT-1426 Digital design for FPGA, 6cp Fall 2011 http://www.tkt.cs.tut.fi/kurssit/1426/ Tampere University of Technology Department of Computer Systems Waqar Hussain Lecture Contents Lecture 1: Introduction

More information

Atlys (Xilinx Spartan-6 LX45)

Atlys (Xilinx Spartan-6 LX45) Boards & FPGA Systems and and Robotics how to use them 1 Atlys (Xilinx Spartan-6 LX45) Medium capacity Video in/out (both DVI) Audio AC97 codec 220 US$ (academic) Gbit Ethernet 128Mbyte DDR2 memory USB

More information

The QR code here provides a shortcut to go to the course webpage.

The QR code here provides a shortcut to go to the course webpage. Welcome to this MSc Lab Experiment. All my teaching materials for this Lab-based module are also available on the webpage: www.ee.ic.ac.uk/pcheung/teaching/msc_experiment/ The QR code here provides a shortcut

More information

MDP Based Face Detection Demonstration User Guide

MDP Based Face Detection Demonstration User Guide FPGA-UG-02047 Version 1.0 May 2018 Contents Acronyms in This Document... 3 1. Introduction... 4 2. Functional Description... 4 3. Demo Setup... 5 4. Programming the Face Detection Demo... 7 5. Running

More information

Hardware/Software Codesign

Hardware/Software Codesign Hardware/Software Codesign SS 2016 Prof. Dr. Christian Plessl High-Performance IT Systems group University of Paderborn Version 2.2.0 2016-04-08 how to design a "digital TV set top box" Motivating Example

More information

INDUSTRIAL TRAINING: 6 MONTHS PROGRAM TEVATRON TECHNOLOGIES PVT LTD

INDUSTRIAL TRAINING: 6 MONTHS PROGRAM TEVATRON TECHNOLOGIES PVT LTD 6 Month Industrial Internship in VLSI Design & Verification" with Industry Level Projects. CURRICULUM Key features of VLSI-Design + Verification Module: ASIC & FPGA design Methodology Training and Internship

More information

Automation Engineers AB Pvt Ltd, NOIDA Job-Oriented Course on Embedded Microcontrollers & Related Software Stack

Automation Engineers AB Pvt Ltd, NOIDA Job-Oriented Course on Embedded Microcontrollers & Related Software Stack Automation Engineers AB Pvt Ltd, NOIDA Job-Oriented Course on Embedded Microcontrollers & Related Software Stack Course Syllabus: Chapter# Topic Covered Duration MODULE 1 INTRO TO EMBEDDED SYSTEMS 2-1

More information

ECE5775 High-Level Digital Design Automation, Fall 2018 School of Electrical Computer Engineering, Cornell University

ECE5775 High-Level Digital Design Automation, Fall 2018 School of Electrical Computer Engineering, Cornell University ECE5775 High-Level Digital Design Automation, Fall 2018 School of Electrical Computer Engineering, Cornell University Lab 4: Binarized Convolutional Neural Networks Due Wednesday, October 31, 2018, 11:59pm

More information

FPGA Development Board Hardware and I/O Features

FPGA Development Board Hardware and I/O Features CHAPTER 2 FPGA Development Board Hardware and I/O Features Photo: The Altera DE1 board contains a Cyclone II FPGA, external SRAM, SDRAM & Flash memory, and a wide assortment of I/O devices and connectors.

More information

Toshiba HX- MU 901 keyboard

Toshiba HX- MU 901 keyboard Toshiba HX- MU 9 keyboard Ton Valkenburgh Version. -- Toshiba HX-MU 9 /9 Content Preface... Version... Background... Internals... Key printed circuit board... Multi-sensor printed circuit board... External

More information

Utilizing SDSoC to Port Convolutional Neural Network to a Space-grade FPGA

Utilizing SDSoC to Port Convolutional Neural Network to a Space-grade FPGA Utilizing SDSoC to Port Convolutional Neural Network to a Space-grade FPGA Josh Anderson joshua.anderson@swri.org Southwest Research Institute 1 Objective Compress MASPEX instrument data Produces ~80MB

More information

EECS150 Lab Lecture 5 Introduction to the Project

EECS150 Lab Lecture 5 Introduction to the Project EECS150 Lab Lecture 5 Introduction to the Project Ian Juch Electrical Engineering and Computer Sciences University of California, Berkeley 9/28/2012 1 Note on Lab4 You should augment the testbenches we

More information

Verilog Design Entry, Synthesis, and Behavioral Simulation

Verilog Design Entry, Synthesis, and Behavioral Simulation ------------------------------------------------------------- PURPOSE - This lab will present a brief overview of a typical design flow and then will start to walk you through some typical tasks and familiarize

More information

Introduction to MATLABs Data Acquisition Toolbox, the USB DAQ, and accelerometers

Introduction to MATLABs Data Acquisition Toolbox, the USB DAQ, and accelerometers Introduction to MATLABs Data Acquisition Toolbox, the USB DAQ, and accelerometers This week we will start to learn the software that we will use through the course, MATLAB s Data Acquisition Toolbox. This

More information

Intel Aero Compute Board

Intel Aero Compute Board Intel Aero Compute Board Hardware Features and Usage Rev 1.5.2 INFORMATION IN THIS DOCUMENT IS PROVIDED IN CONNECTION WITH INTEL PRODUCTS. NO LICENSE, EXPRESS OR IMPLIED, BY ESTOPPEL OR OTHERWISE, TO ANY

More information

Custom Silicon for all

Custom Silicon for all Custom Silicon for all Because Moore s Law only ends once Who is SiFive? Best-in-class team with technology depth and breadth Founders & Execs Key Leaders & Team Yunsup Lee CTO Krste Asanovic Chief Architect

More information

Application Note: Optical data transmission with the Avocet Image Sensor

Application Note: Optical data transmission with the Avocet Image Sensor : Optical data transmission with the Avocet Image Sensor This application note presents a reference design for using optical data transmissions with the MLX75411 Avocet image sensor. The MLX75605 optical

More information

Experiment VERI: FPGA Design with Verilog (Part 1)

Experiment VERI: FPGA Design with Verilog (Part 1) Experiment VERI: Department of Electrical & Electronic Engineering 2nd Year Laboratory Experiment VERI: FPGA Design with Verilog (Part 1) (webpage: www.ee.ic.ac.uk/pcheung/teaching/e2_experiment /) Objectives

More information

Today s Agenda Background/Experience Course Information Altera DE2B Board do Overview Introduction to Embedded Systems Design Abstraction Microprocess

Today s Agenda Background/Experience Course Information Altera DE2B Board do Overview Introduction to Embedded Systems Design Abstraction Microprocess ECEN 4633/5633 Hybrid Embedded Systems Fall 2010 Semester Dr. David Ward Today s Agenda Background/Experience Course Information Altera DE2B Board do Overview Introduction to Embedded Systems Design Abstraction

More information

6 Month Certificate Program in VLSI Design & Verification" with Industry Level Projects. Tevatron Technologies Prívate Limited

6 Month Certificate Program in VLSI Design & Verification with Industry Level Projects. Tevatron Technologies Prívate Limited 6 Month Certificate Program in VLSI Design & Verification" with Industry Level Projects.. : Tevatron Technologies Prívate Limited Embedded! Robotics! IoT! VLSI Design! Projects! Technical Consultancy!

More information

A new Computer Vision Processor Chip Design for automotive ADAS CNN applications in 22nm FDSOI based on Cadence VP6 Technology

A new Computer Vision Processor Chip Design for automotive ADAS CNN applications in 22nm FDSOI based on Cadence VP6 Technology Dr.-Ing Jens Benndorf (DCT) Gregor Schewior (DCT) A new Computer Vision Processor Chip Design for automotive ADAS CNN applications in 22nm FDSOI based on Cadence VP6 Technology Tensilica Day 2017 16th

More information

LAB 5 Implementing an ALU

LAB 5 Implementing an ALU Goals To Do Design a practical ALU LAB 5 Implementing an ALU Learn how to extract performance numbers (area and speed) Draw a block level diagram of the MIPS 32-bit ALU, based on the description in the

More information

Santa Fe (MAXREFDES5#) MicroZed Quick Start Guide

Santa Fe (MAXREFDES5#) MicroZed Quick Start Guide Santa Fe (MAXREFDES5#) MicroZed Quick Start Guide Rev 0; 5/14 Maxim Integrated cannot assume responsibility for use of any circuitry other than circuitry entirely embodied in a Maxim Integrated product.

More information

The Microprocessor as a Microcosm:

The Microprocessor as a Microcosm: The Microprocessor as a Microcosm: A Hands-On Approach to VLSI Design Education David Harris David_Harris@hmc.edu November 2002 Harvey Mudd College Claremont, CA Outline Introduction Course Organization

More information

An Efficient Architecture for Ultra Long FFTs in FPGAs and ASICs

An Efficient Architecture for Ultra Long FFTs in FPGAs and ASICs An Efficient Architecture for Ultra Long FFTs in FPGAs and ASICs Architecture optimized for Fast Ultra Long FFTs Parallel FFT structure reduces external memory bandwidth requirements Lengths from 32K to

More information

TEXAS INSTRUMENTS DEEP LEARNING (TIDL) GOES HERE FOR SITARA PROCESSORS GOES HERE

TEXAS INSTRUMENTS DEEP LEARNING (TIDL) GOES HERE FOR SITARA PROCESSORS GOES HERE YOUR TEXAS INSTRUMENTS VIDEO TITLE DEEP LEARNING (TIDL) GOES HERE FOR SITARA PROCESSORS OVERVIEW THE SUBTITLE GOES HERE Texas Instruments Deep Learning (TIDL) for Sitara Processors Overview Texas Instruments

More information

SP3Q.3. What makes it a good idea to put CRC computation and error-correcting code computation into custom hardware?

SP3Q.3. What makes it a good idea to put CRC computation and error-correcting code computation into custom hardware? Part II CST: SoC D/M: Quick exercises S3-S4 (examples sheet) Feb 2018 (rev a). This sheet contains short exercises for quick revision. Please also look at past exam questions and/or try some of the longer

More information

D Demonstration of disturbance recording functions for PQ monitoring

D Demonstration of disturbance recording functions for PQ monitoring D6.3.7. Demonstration of disturbance recording functions for PQ monitoring Final Report March, 2013 M.Sc. Bashir Ahmed Siddiqui Dr. Pertti Pakonen 1. Introduction The OMAP-L138 C6-Integra DSP+ARM processor

More information

Nikhil Gupta. FPGA Challenge Takneek 2012

Nikhil Gupta. FPGA Challenge Takneek 2012 Nikhil Gupta FPGA Challenge Takneek 2012 RECAP FPGA Field Programmable Gate Array Matrix of logic gates Can be configured in any way by the user Codes for FPGA are executed in parallel Configured using

More information

MATLAB/Simulink 기반의프로그래머블 SoC 설계및검증

MATLAB/Simulink 기반의프로그래머블 SoC 설계및검증 MATLAB/Simulink 기반의프로그래머블 SoC 설계및검증 이웅재부장 Application Engineering Group 2014 The MathWorks, Inc. 1 Agenda Introduction ZYNQ Design Process Model-Based Design Workflow Prototyping and Verification Processor

More information