Over the SoC Verification Hurdles

Size: px
Start display at page:

Download "Over the SoC Verification Hurdles"

Transcription

1 Yuan Ze University Over the SoC Verification Hurdles November 7, 2006 Chong-Min Kyung

2 Contents Part 1 ; Introduction to KAIST Part 2 ; Verification Agony Part 3 ; programmable processor development Part 4 ; H.264 decoder case Conclusion Over the SoC Veri Hurdles (YZU) 2

3 PART Over the SoC Veri Hurdles (YZU) 3

4 Korea s 10 New Growth Engines ( Percentage of SoC ; Overall 34%) Semiconductor (40%) Robot (25%) Home Network (50%) Car & Telematics (50%) Display (25%) Digital TV (80%) Mobile Communications (70%) Software & Digital Contents Batteries New Medicine & Bio Over the SoC Veri Hurdles (YZU) 4

5 KAIST (Korea Advanced Institute of Science and Technology)

6 KAIST as a whole About 400 Faculty members in 20 departments (EECS being the biggest with 85(52EE, 32CS) professors) As of 2003, produced 5380 Ph.D. s 14,800 Masters, and 6520 Bachelors. Current Population : 2980 BS, 1970 MS, and 2360 Ph.D. students Over the SoC Veri Hurdles (YZU) 6

7 Distinctive Features of KAIST Belonging to Ministry of Science and Technology (not Ministry of Education) No tuition fees, scholarship for all students Exemption of military service for Ph.D. students Over the SoC Veri Hurdles (YZU) 7

8 Why was KAIST founded? Based on Report of Frederick Terman in 1971 To supply competitive engineering graduates to the labor-intensive industry Initial focus was on master level Due to the serious opposition by existing universities and Minister of Education, KAIST, a purely a graduate school, belonged to Ministry of Science and Technology from the beginning. Has become a model for engineering graduate school in Korea. JAIST and NAIST founded in Japan. So Mission was completed. Now what? Over the SoC Veri Hurdles (YZU) 8

9 Students After Graduation Total number of Graduates have been 26,359 since its inauguration. 100% 80% Other 60% Government University 40% 20% Research Industry 0% Advanced Studies B.S.(6,442) M.S.(14,710) Ph.D.(5,207) Over the SoC Veri Hurdles (YZU) 9

10 K A I S T Electrical Engineering A place where young scientists Dreams and enthusiasm are alive Over the SoC Veri Hurdles (YZU) 10

11 Department of KAIST Research-oriented department with 15 research centers each with > US$1M annual funding 64 Start-up companies started by graduates since faculty members, 760 graduate students (480 Ph.D. and 280 M.S. candidates graduate) and 350 undergraduate students Over the SoC Veri Hurdles (YZU) 11

12 Academics KAIST Electrical Engineering 393 Faculty 53 (13%) 5,478 BS 1,454 /MS 1,964 /Ph.D 2,060 (BS freshmen are excepted) 29,199 BS 7,393 /MS 15,816 /Ph.D 5,990 Enrollment Graduates 928 (17%) BS 281 /MS 261 /Ph.D 386 4,914 (17%) BS 1,451 /MS 2,426 /Ph.D Million USD 2003 Research Expenditures 20.6 Million USD (23%) Over the SoC Veri Hurdles (YZU) 12

13 Facilities Information & Electronics B/D(6th) Center for HighPerformance Integrated Systmes Information & Electronics B/D(5th) LG Semicon Hall Semiconductor B/D Computer Lab Image Processing B/D Over the SoC Veri Hurdles (YZU) 13 Satellite Technology Research Center

14 CHiPS (Center for High- Performance Integrated Systems) Over the SoC Veri Hurdles (YZU) 14

15 PART Over the SoC Veri Hurdles (YZU) 15

16 Challenges with SoC (System-on-Chip) Verification Short time-to-market High gate count Needs Co-verification H/W and S/W components in a single platform. Co-design of multiple heterogeneous components. First-time success is a MUST. No second chance! Over the SoC Veri Hurdles (YZU) 16

17 Overview of Verification Methodologies Simulation Basic verification tool Hardware Accelerated Simulation Semi-formal Verification Emulation Formal Verification Prototyping Over the SoC Veri Hurdles (YZU) 17

18 Designer s agony Verification is extremely difficult to complete. And the cost of untreated bugs is extremely high, especially toward the pipeline end and toward the deeper submicron process Over the SoC Veri Hurdles (YZU) 18

19 Verification Performance Gap ; more serious than the design productivity gap Growing gap between the demand for verification and the simulation technology offered by various options. Verification Performance Gap Simulation performance Small ASIC Medium ASIC Complex ASIC SOC Verification complexity Over the SoC Veri Hurdles (YZU) 19 Design complexity System-on-a-chip verification, 2001 P.Rashinkar

20 Bug Fixing Cost varies in Time Cost of fixing a bug increases as design progresses. Need verification method at early design stage Cost of Fixing a Bug Behavioral Design RTL Design Gate Level Design Device Production Over the SoC Veri Hurdles (YZU) 20 Verification methodology manual, 2000 TransEDA

21 PART Over the SoC Veri Hurdles (YZU) 21

22 Case #1 (Processor development) C model for ISS + C model for all environment incl. hardware Compiler to be developed in parallel We wanted to verify our understanding of x86 instruction behavior before any detailed design as well as implementation Over the SoC Veri Hurdles (YZU) 22

23 HK386 (1995.9) Chip Feature 32-bit microprocessor which is pin and instruction level compatible with Intel s i μm CMOS technology Package: 132-pin PGA Die size: cm 2 Architectural Feature Pipelined Instruction Execution 64 Terabytes Virtual Address Space Integrated Memory Management Unit (MMU) On-Chip Address Translation Caches Over the SoC Veri Hurdles (YZU) 23

24 VPC(Virtual PC) Environment CPU model intel i386 Interface routines PC Chipset model BIOS (Assembly and C routine) X window Keyboard with Xlib Memory x86 interface Debugging feature Virtual PC platform interface Simulation & Debugging UNIX file system PC model Platform Over the SoC Veri Hurdles (YZU) 24

25 HK386 HK Over the SoC Veri Hurdles (YZU) 25

26 HK386 (1995) Design Specification Instruction level, Pin-to-Pin compatible with i386 Operation speed : 40 MHz 0.8 μm DLM CMOS ASIC Test Programs MS DOS 6.0, Windows 3.1, Office 4.0 CAD tools, games, etc.. MS Win. 3.1 MS Office MaxPlus II Over the SoC Veri Hurdles (YZU) 26

27 Microprocessor Design Verification CPU Model Methodology more refined model C Language HDL Instruction Behavior In C (Polaris) Microarchitecture in C RTL Verilog Gate-Level Verilog Virtual Chip FlexPC MCV PLI Real Mother-board H/W Virtual PC in C (VPC) Target Environment MCV : Microcode Verifier PLI : Programming Language Over the SoC Veri Hurdles (YZU) 27

28 Virtual Chip : Verify Early, In-System Virtual Chip: Making Functional Models Work on Real Target System [DAC98] Simulating ISS of a Processor Chip along with real target environment Chip Model;ISS Chip Socket Target board Host computer as Virtual Chip cable Pin Signal Generator with Buffers Over the SoC Veri Hurdles (YZU) 28

29 C Model Verifier Target System Simulation Host PentiumPro 200MHz CPU socket PSG PSG 2 ALTERA EPM7192 Target System 500kHz Pentium Slow-downed Motherboard Over the SoC Veri Hurdles (YZU) 29

30 isave design process Host computer compiles the algorithm and downloads it to isave. Processing Engine (PE) executes the chip model in C/C++, SystemC, etc. Target Interface Engine (TIE) takes care of the chip interface with the target board. Target board where isave is plugged into instead of the real chip Over the SoC Veri Hurdles (YZU) 30

31 Semi-real-time MPEG2 decoding - MPEG2 decoding - Emulation with isave prototype directly plugged into target Over the SoC Veri Hurdles (YZU) 31

32 Reducing TTM using Virtual Chip Conventional design flow H/W System Application S/W Architectural model Board design design RTL model Gate-level model idle idle H/W Emulation H/W prototype (H/W emulation) Verification w/ H/W Virtual Chip design flow; EARLY,IN-SYSTEM H/W System Architectural model Board design design RTL model Gate-level model Application S/W Over the SoC Veri Hurdles (YZU) 32 H/W prototype (Virtual Chip) H/W Emulation Verification w/ H/W Design time is drastically reduced

33 x86 Emulation Configuration Probe Module 500kHz Slowed-Down PC Target Interface Board Hardware Emulator Over the SoC Veri Hurdles (YZU) 33

34 Instructions (thousand) Booting Windows 20M instructions on Marcia 0 Simulation debugs, Emulation approves. setup version update 1 HDL saver Attached HDL Simulation Over the SoC Veri Hurdles (YZU) 34 Time (weeks) version update 2 version update 3 Windows Hardware Emulation DOS

35 What about Emulation? Extremely expensive Needs a lot of time for Compile Needs an expensive slowed-down PC ($20,000 for 500kHz clocking) However worked at the last minute Finding bugs is not easy Needs a systematic and well-distributed procedure for verification than just one big equipment Over the SoC Veri Hurdles (YZU) 35

36 PART Over the SoC Veri Hurdles (YZU) 36

37 Case #3 ; Overview of H.264 Digital Multimedia Broadcasting (DMB) Video Standard: H.264 Simulation with ARM ISS to profile H.264 decoder s functions Target Processor: ARM946-ES Entropy Decoding Quantized Coefficients Inverse Transform + + Deblocking Filter Decoded Macroblock Intra-Frame Prediction Over the SoC Veri Hurdles (YZU) 37 Motion Data Intra/Inter Motion Comp. Prediction Memory

38 Algorithm Verification and HW/SW partitioning Profiling Results Deblocking Filter: 19.25% Entropy decoding: 45.58% Inverse Transform: 17.00% Entropy Decoding Entropy Decoding Deblocking Filter Quantized Coefficients Hardware Inverse Transform Inverse Transform Inverse Quantization Intra/Inter Motion Data + + Deblocking Filter Intra-Frame Prediction Motion Comp. Prediction Intra Prediction Motion Compensation Memory Software Decoded Macroblock Over the SoC Veri Hurdles (YZU) 38

39 Co-simulation S/W (C code) Intra Prediction Motion Compensation SimBase H/W (Verilog) Entropy Decoding Deblocking Filter Inverse Transform/Quantization ARM ISS (AXD) IPC HDL Simulator (Modelsim) Communication channel Entropy Decoding Intra Prediction Deblocking Filter Motion Compensation Inverse Transform Inverse Quantization Over the SoC Veri Hurdles (YZU) 39

40 Co-emulation Host PC ARM core module JTAG Intra Prediction Motion Compensation Entropy Decoding PCI channel RS232C Deblocking Filter Inverse Transform Inverse Quantization Simulation Accelerator DPP port ProBase Over the SoC Veri Hurdles (YZU) 40

41 intuition ; ARM + FPGA + simulation Communication localization Bus partitioning & communication localization DMA: Direct Memory Access Controller PIC: Programmable Interrupt Controller A dedicated frame memory access path to LCD controller A separated bus, DMA controller, and dual-port memory for audio controller How do we know bandwidth requirements before running software on top of hardware. How do we determine access priority and interrupt priority Over the SoC Veri Hurdles (YZU) 41

42 intuition ARM/AMBA ARM Core Tile Host I/F based on USB DDR2 SDRAM DDR2 SDRAM DIMM ZBT SSRAM Host I/F & BILA DDR2 controller ZBT & Flash controller Flash SD/MMC FPGA MMC controller B2B connector LCD controller Color graphic LCD ARM ARM interface ZBT SSRAM USB controller Ethernet controller Audio codec PS/2 controller UART GPIO USB OTG Ethernet (10/100) AC97 codec PS/2 (x2) Line driver (x2) Touch screen AMBA bus structure Over the SoC Veri Hurdles (YZU) 42

43 Dual-iNTUITION for MPSoC Touch-screen LCD ARM Core Tile ARM Core Tile intuition basic Over the SoC Veri Hurdles (YZU) 43

44 AMBA Architecture Builder SoC design concept Automatic synthesis, P&R AMBA architecture builder Over the SoC Veri Hurdles (YZU) 44

45 Application Example 1 ARM-Based Audio System Development Development Hardware development using AMBA architecture builder Firmware development using ARM compiler and ICE intuition ARM11 SRAM Flash icon AMBA Bus System Blutooth AC97 MMC SRAM (Frame buf) DDR2 (Audio buf) Over the SoC Veri Hurdles (YZU) 45

46 Application Example 2 Windows-CE Development Microsoft Platform Builder (OS development) Microsoft Embedded Visual C++ (Application development) OS image Ethernet Dynalith iflash (Flash ROM programming) Over the SoC Veri Hurdles (YZU) 46

47 An example of SoC design & Verification Serial Memory SoC Ethernet Video Audio What are necessary? System design Hardware design Software programming Inter-disciplinary HW/SW co-design & verification Over the SoC Veri Hurdles (YZU) 47

48 OpenIDEA & incite usage example Over the SoC Veri Hurdles (YZU) 48

49 Software Simulation PC/ Workstation IDE GDB ISS Peri. Model Peri. Model Peri. Model Over the SoC Veri Hurdles (YZU) 49

50 PC/ Workstation HW-SW Co-Simulation IDE GDB ISS HDL Simulator Bus Model Peri. Model Peri. Model OR IDE GDB ISS HDL Simulator Processor Model Bus Model Peri. Model Peri. Model Over the SoC Veri Hurdles (YZU) 50

51 HW-SW Co-Emulation PC/ Workstation incite HDL Simulator HW Board IDE GDB Proc. Model Bus Model USB Bus Model Peri. Model Peri. Model Peri. Model OR HW Board IDE GDB USB Processor Model Bus Model Peri. Model Peri. Model Over the SoC Veri Hurdles (YZU) 51

52 Concluding Remarks Verification is a critical process, because it is difficult, costly, and incurs huge penalty, when not properly dealt with Two different examples Programmable processor (x86) Fixed function accelerator (H.264 decoder) Over the SoC Veri Hurdles (YZU) 52

53 Thank you! Over the SoC Veri Hurdles (YZU) 53

Surviving the Lossy Silicon Pipeline

Surviving the Lossy Silicon Pipeline Surviving the Lossy Silicon Pipeline Chong-Min Kyung KAIST 2006-11-25 silicon pipeline (NTU) 1 Part 1 : Three Case Studies Part 2 : Silicon Pipeline silicon pipeline (NTU) 2 Part 1:Case studies 1) In-System

More information

SoC Verification Strategies for Embedded Systems Design

SoC Verification Strategies for Embedded Systems Design SOC Design Conference SoC Verification Strategies for Embedded Systems Design November 5-6, 2003/ Seoul Chong-Min Kyung, KAIST 1 Various Embedded Mobile Systems Data Processing Consumer Desktop PC DVC

More information

The Use Of Virtual Platforms In MP-SoC Design. Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006

The Use Of Virtual Platforms In MP-SoC Design. Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006 The Use Of Virtual Platforms In MP-SoC Design Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006 1 MPSoC Is MP SoC design happening? Why? Consumer Electronics Complexity Cost of ASIC Increased SW Content

More information

Test and Verification Solutions. ARM Based SOC Design and Verification

Test and Verification Solutions. ARM Based SOC Design and Verification Test and Verification Solutions ARM Based SOC Design and Verification 7 July 2008 1 7 July 2008 14 March 2 Agenda System Verification Challenges ARM SoC DV Methodology ARM SoC Test bench Construction Conclusion

More information

100M Gate Designs in FPGAs

100M Gate Designs in FPGAs 100M Gate Designs in FPGAs Fact or Fiction? NMI FPGA Network 11 th October 2016 Jonathan Meadowcroft, Cadence Design Systems Why in the world, would I do that? ASIC replacement? Probably not! Cost prohibitive

More information

An H.264/AVC Main Profile Video Decoder Accelerator in a Multimedia SOC Platform

An H.264/AVC Main Profile Video Decoder Accelerator in a Multimedia SOC Platform An H.264/AVC Main Profile Video Decoder Accelerator in a Multimedia SOC Platform Youn-Long Lin Department of Computer Science National Tsing Hua University Hsin-Chu, TAIWAN 300 ylin@cs.nthu.edu.tw 2006/08/16

More information

ESE Back End 2.0. D. Gajski, S. Abdi. (with contributions from H. Cho, D. Shin, A. Gerstlauer)

ESE Back End 2.0. D. Gajski, S. Abdi. (with contributions from H. Cho, D. Shin, A. Gerstlauer) ESE Back End 2.0 D. Gajski, S. Abdi (with contributions from H. Cho, D. Shin, A. Gerstlauer) Center for Embedded Computer Systems University of California, Irvine http://www.cecs.uci.edu 1 Technology advantages

More information

TKT-2431 SoC design. Introduction to exercises. SoC design / September 10

TKT-2431 SoC design. Introduction to exercises. SoC design / September 10 TKT-2431 SoC design Introduction to exercises Assistants: Exercises and the project work Juha Arvio juha.arvio@tut.fi, Otto Esko otto.esko@tut.fi In the project work, a simplified H.263 video encoder is

More information

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info.

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info. A FPGA based development platform as part of an EDK is available to target intelop provided IPs or other standard IPs. The platform with Virtex-4 FX12 Evaluation Kit provides a complete hardware environment

More information

ECE 111 ECE 111. Advanced Digital Design. Advanced Digital Design Winter, Sujit Dey. Sujit Dey. ECE Department UC San Diego

ECE 111 ECE 111. Advanced Digital Design. Advanced Digital Design Winter, Sujit Dey. Sujit Dey. ECE Department UC San Diego Advanced Digital Winter, 2009 ECE Department UC San Diego dey@ece.ucsd.edu http://esdat.ucsd.edu Winter 2009 Advanced Digital Objective: of a hardware-software embedded system using advanced design methodologies

More information

LEON4: Fourth Generation of the LEON Processor

LEON4: Fourth Generation of the LEON Processor LEON4: Fourth Generation of the LEON Processor Magnus Själander, Sandi Habinc, and Jiri Gaisler Aeroflex Gaisler, Kungsgatan 12, SE-411 19 Göteborg, Sweden Tel +46 31 775 8650, Email: {magnus, sandi, jiri}@gaisler.com

More information

Fujitsu System Applications Support. Fujitsu Microelectronics America, Inc. 02/02

Fujitsu System Applications Support. Fujitsu Microelectronics America, Inc. 02/02 Fujitsu System Applications Support 1 Overview System Applications Support SOC Application Development Lab Multimedia VoIP Wireless Bluetooth Processors, DSP and Peripherals ARM Reference Platform 2 SOC

More information

FPGA-based Transaction-Level Verification Through De Facto Standard Interfaces

FPGA-based Transaction-Level Verification Through De Facto Standard Interfaces FPGA-based Transaction-Level Verification Through De Facto Standard Interfaces -- DVClub China Q4 -- Dec. 5, 2014 Ando Ki, Ph.D Dynalith Systems adki@dynalith.com / www.dynalith.com Table of Contents Background

More information

Introduction to Embedded Systems

Introduction to Embedded Systems Introduction to Embedded Systems Minsoo Ryu Hanyang University Outline 1. Definition of embedded systems 2. History and applications 3. Characteristics of embedded systems Purposes and constraints User

More information

Product Technical Brief S3C2416 May 2008

Product Technical Brief S3C2416 May 2008 Product Technical Brief S3C2416 May 2008 Overview SAMSUNG's S3C2416 is a 32/16-bit RISC cost-effective, low power, high performance micro-processor solution for general applications including the GPS Navigation

More information

PRODUCT SPECIFICATION

PRODUCT SPECIFICATION A P P E N D I X A PRODUCT SPECIFICATION A-1 Processor Core Logic Processor and Core Logic Mobile Intel Pentium M (Banias), 1.5GHz-1.6GHz, 1 MB L2 with Error Correction Code, or Mobile Intel Pentium M (Dothan),

More information

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS Embedded System System Set of components needed to perform a function Hardware + software +. Embedded Main function not computing Usually not autonomous

More information

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey System-on-Chip Architecture for Mobile Applications Sabyasachi Dey Email: sabyasachi.dey@gmail.com Agenda What is Mobile Application Platform Challenges Key Architecture Focus Areas Conclusion Mobile Revolution

More information

AT-501 Cortex-A5 System On Module Product Brief

AT-501 Cortex-A5 System On Module Product Brief AT-501 Cortex-A5 System On Module Product Brief 1. Scope The following document provides a brief description of the AT-501 System on Module (SOM) its features and ordering options. For more details please

More information

ASIC Logic. Speaker: Juin-Nan Liu. Adopted from National Chiao-Tung University IP Core Design

ASIC Logic. Speaker: Juin-Nan Liu. Adopted from National Chiao-Tung University IP Core Design ASIC Logic Speaker: Juin-Nan Liu Adopted from National Chiao-Tung University IP Core Design Goal of This Lab Prototyping Familiarize with ARM Logic Module (LM) Know how to program LM Outline Introduction

More information

Validation Strategies with pre-silicon platforms

Validation Strategies with pre-silicon platforms Validation Strategies with pre-silicon platforms Shantanu Ganguly Synopsys Inc April 10 2014 2014 Synopsys. All rights reserved. 1 Agenda Market Trends Emulation HW Considerations Emulation Scenarios Debug

More information

NS115 System Emulation Based on Cadence Palladium XP

NS115 System Emulation Based on Cadence Palladium XP NS115 System Emulation Based on Cadence Palladium XP wangpeng 新岸线 NUFRONT Agenda Background and Challenges Porting ASIC to Palladium XP Software Environment Co Verification and Power Analysis Summary Background

More information

Multimedia Decoder Using the Nios II Processor

Multimedia Decoder Using the Nios II Processor Multimedia Decoder Using the Nios II Processor Third Prize Multimedia Decoder Using the Nios II Processor Institution: Participants: Instructor: Indian Institute of Science Mythri Alle, Naresh K. V., Svatantra

More information

S2C K7 Prodigy Logic Module Series

S2C K7 Prodigy Logic Module Series S2C K7 Prodigy Logic Module Series Low-Cost Fifth Generation Rapid FPGA-based Prototyping Hardware The S2C K7 Prodigy Logic Module is equipped with one Xilinx Kintex-7 XC7K410T or XC7K325T FPGA device

More information

Platform-based Design

Platform-based Design Platform-based Design The New System Design Paradigm IEEE1394 Software Content CPU Core DSP Core Glue Logic Memory Hardware BlueTooth I/O Block-Based Design Memory Orthogonalization of concerns: the separation

More information

Product Technical Brief S3C2440X Series Rev 2.0, Oct. 2003

Product Technical Brief S3C2440X Series Rev 2.0, Oct. 2003 Product Technical Brief S3C2440X Series Rev 2.0, Oct. 2003 S3C2440X is a derivative product of Samsung s S3C24XXX family of microprocessors for mobile communication market. The S3C2440X s main enhancement

More information

Does FPGA-based prototyping really have to be this difficult?

Does FPGA-based prototyping really have to be this difficult? Does FPGA-based prototyping really have to be this difficult? Embedded Conference Finland Andrew Marshall May 2017 What is FPGA-Based Prototyping? Primary platform for pre-silicon software development

More information

Fujitsu SOC Fujitsu Microelectronics America, Inc.

Fujitsu SOC Fujitsu Microelectronics America, Inc. Fujitsu SOC 1 Overview Fujitsu SOC The Fujitsu Advantage Fujitsu Solution Platform IPWare Library Example of SOC Engagement Model Methodology and Tools 2 SDRAM Raptor AHB IP Controller Flas h DM A Controller

More information

CORRIGENDUM ISSUED FOR NATIONAL COMPETITIVE BIDDING UNDER TEQIP PHASE-II

CORRIGENDUM ISSUED FOR NATIONAL COMPETITIVE BIDDING UNDER TEQIP PHASE-II CORRIGENDUM ISSUED FOR NATIONAL COMPETITIVE BIDDING UNDER TEQIP PHASE-II The prebid meeting for the packages to be purchased under national competitive bidding for TEQIP Phase II was held on 15/10/2013

More information

The S6000 Family of Processors

The S6000 Family of Processors The S6000 Family of Processors Today s Design Challenges The advent of software configurable processors In recent years, the widespread adoption of digital technologies has revolutionized the way in which

More information

Graduate Institute of Electronics Engineering, NTU Advanced VLSI SOPC design flow

Graduate Institute of Electronics Engineering, NTU Advanced VLSI SOPC design flow Advanced VLSI SOPC design flow Advisor: Speaker: ACCESS IC LAB What s SOC? IP classification IP reusable & benefit Outline SOPC solution on FPGA SOPC design flow pp. 2 What s SOC? Definition of SOC Advantage

More information

PRODUCT SPECIFICATION

PRODUCT SPECIFICATION A P P E N D I X A PRODUCT SPECIFICATION A-1 Processor Core Logic Processor and Core Logic Intel P4 (Prescott) 2.8 ~ 3.6 GHz, FC-mPGA4 Type, 1 MB L2, with Hyper Threading Technology Mobile Intel P4 (Prescott)

More information

Introduction to Embedded Systems

Introduction to Embedded Systems Introduction to Embedded Systems Outline Embedded systems overview What is embedded system Characteristics Elements of embedded system Trends in embedded system Design cycle 2 Computing Systems Most of

More information

ECE332, Week 2, Lecture 3. September 5, 2007

ECE332, Week 2, Lecture 3. September 5, 2007 ECE332, Week 2, Lecture 3 September 5, 2007 1 Topics Introduction to embedded system Design metrics Definitions of general-purpose, single-purpose, and application-specific processors Introduction to Nios

More information

ECE332, Week 2, Lecture 3

ECE332, Week 2, Lecture 3 ECE332, Week 2, Lecture 3 September 5, 2007 1 Topics Introduction to embedded system Design metrics Definitions of general-purpose, single-purpose, and application-specific processors Introduction to Nios

More information

Graduate Institute of Electronics Engineering, NTU. ASIC Logic. Speaker: Lung-Hao Chang 張龍豪 Advisor: Prof. Andy Wu 吳安宇教授.

Graduate Institute of Electronics Engineering, NTU. ASIC Logic. Speaker: Lung-Hao Chang 張龍豪 Advisor: Prof. Andy Wu 吳安宇教授. ASIC Logic Speaker: Lung-Hao Chang 張龍豪 Advisor: Prof. Andy Wu 吳安宇教授 May 21, 2003 PP. 2 Prototyping Goal of This Lab Familiarize with ARM Logic Module (LM) Know how to program LM PP. 3 Introduction ARM

More information

Platform-based SoC Design

Platform-based SoC Design Platform-based SoC Design Res Saleh University of British Columbia Dept. of ECE res@ece.ubc.ca 1 Evolution from ASICs to Platform-Based Design For SoC s, Platform-Based Design is the next logical evolution

More information

Creating hybrid FPGA/virtual platform prototypes

Creating hybrid FPGA/virtual platform prototypes Creating hybrid FPGA/virtual platform prototypes Know how to use the PCIe-over-Cabling interface in its HAPS-60-based system to create a new class of hybrid prototypes. By Troy Scott Product Marketing

More information

Product Technical Brief S3C2413 Rev 2.2, Apr. 2006

Product Technical Brief S3C2413 Rev 2.2, Apr. 2006 Product Technical Brief Rev 2.2, Apr. 2006 Overview SAMSUNG's is a Derivative product of S3C2410A. is designed to provide hand-held devices and general applications with cost-effective, low-power, and

More information

Product Technical Brief S3C2412 Rev 2.2, Apr. 2006

Product Technical Brief S3C2412 Rev 2.2, Apr. 2006 Product Technical Brief S3C2412 Rev 2.2, Apr. 2006 Overview SAMSUNG's S3C2412 is a Derivative product of S3C2410A. S3C2412 is designed to provide hand-held devices and general applications with cost-effective,

More information

Early Models in Silicon with SystemC synthesis

Early Models in Silicon with SystemC synthesis Early Models in Silicon with SystemC synthesis Agility Compiler summary C-based design & synthesis for SystemC Pure, standard compliant SystemC/ C++ Most widely used C-synthesis technology Structural SystemC

More information

Designing Embedded Processors in FPGAs

Designing Embedded Processors in FPGAs Designing Embedded Processors in FPGAs 2002 Agenda Industrial Control Systems Concept Implementation Summary & Conclusions Industrial Control Systems Typically Low Volume Many Variations Required High

More information

Cannon Mountain Dr Longmont, CO LS6410 Hardware Design Perspective

Cannon Mountain Dr Longmont, CO LS6410 Hardware Design Perspective LS6410 Hardware Design Perspective 1. S3C6410 Introduction The S3C6410X is a 16/32-bit RISC microprocessor, which is designed to provide a cost-effective, lowpower capabilities, high performance Application

More information

Assembly Language for x86 Processors 7 th Edition. Chapter 2: x86 Processor Architecture

Assembly Language for x86 Processors 7 th Edition. Chapter 2: x86 Processor Architecture Assembly Language for x86 Processors 7 th Edition Kip Irvine Chapter 2: x86 Processor Architecture Slides prepared by the author Revision date: 1/15/2014 (c) Pearson Education, 2015. All rights reserved.

More information

Embedded Hardware and Software

Embedded Hardware and Software Embedded Hardware and Software Saved by a Common Language? Nithya A. Ruff, Director, Product Marketing 10/11/2012, Toronto Synopsys 2012 1 Synopsys Industry Leadership $1,800 $1,600 $1,400 $1,200 $1,000

More information

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES DIGITAL DESIGN TECHNOLOGY & TECHNIQUES CAD for ASIC Design 1 INTEGRATED CIRCUITS (IC) An integrated circuit (IC) consists complex electronic circuitries and their interconnections. William Shockley et

More information

Park Sung Chul. AE MentorGraphics Korea

Park Sung Chul. AE MentorGraphics Korea PGA Design rom Concept to Silicon Park Sung Chul AE MentorGraphics Korea The Challenge of Complex Chip Design ASIC Complex Chip Design ASIC or FPGA? N FPGA Design FPGA Embedded Core? Y FPSoC Design Considerations

More information

H.264 AVC 4k Decoder V.1.0, 2014

H.264 AVC 4k Decoder V.1.0, 2014 SOC H.264 AVC 4k Video Decoder Datasheet System-On-Chip (SOC) Technologies 1. Key Features 1. Profile: High profile 2. Resolution: 4k (3840x2160) 3. Frame Rate: up to 60fps 4. Chroma Format: 4:2:0 or 4:2:2

More information

Energy scalability and the RESUME scalable video codec

Energy scalability and the RESUME scalable video codec Energy scalability and the RESUME scalable video codec Harald Devos, Hendrik Eeckhaut, Mark Christiaens ELIS/PARIS Ghent University pag. 1 Outline Introduction Scalable Video Reconfigurable HW: FPGAs Implementation

More information

TKT-2431 SoC design. Introduction to exercises

TKT-2431 SoC design. Introduction to exercises TKT-2431 SoC design Introduction to exercises Assistants: Exercises Jussi Raasakka jussi.raasakka@tut.fi Otto Esko otto.esko@tut.fi In the project work, a simplified H.263 video encoder is implemented

More information

Introduction to System-on-Chip

Introduction to System-on-Chip Introduction to System-on-Chip COE838: Systems-on-Chip Design http://www.ee.ryerson.ca/~courses/coe838/ Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrical and Computer Engineering Ryerson University

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

ISSCC 2001 / SESSION 9 / INTEGRATED MULTIMEDIA PROCESSORS / 9.2

ISSCC 2001 / SESSION 9 / INTEGRATED MULTIMEDIA PROCESSORS / 9.2 ISSCC 2001 / SESSION 9 / INTEGRATED MULTIMEDIA PROCESSORS / 9.2 9.2 A 80/20MHz 160mW Multimedia Processor integrated with Embedded DRAM MPEG-4 Accelerator and 3D Rendering Engine for Mobile Applications

More information

Mapping Multi-Million Gate SoCs on FPGAs: Industrial Methodology and Experience

Mapping Multi-Million Gate SoCs on FPGAs: Industrial Methodology and Experience Mapping Multi-Million Gate SoCs on FPGAs: Industrial Methodology and Experience H. Krupnova CMG/FMVG, ST Microelectronics Grenoble, France Helena.Krupnova@st.com Abstract Today, having a fast hardware

More information

System-on-a-Programmable-Chip (SOPC) Development Board

System-on-a-Programmable-Chip (SOPC) Development Board System-on-a-Programmable-Chip (SOPC) Development Board Solution Brief 47 March 2000, ver. 1 Target Applications: Embedded microprocessor-based solutions Family: APEX TM 20K Ordering Code: SOPC-BOARD/A4E

More information

Module 1. Introduction. Version 2 EE IIT, Kharagpur 1

Module 1. Introduction. Version 2 EE IIT, Kharagpur 1 Module 1 Introduction Version 2 EE IIT, Kharagpur 1 Lesson 3 Embedded Systems Components Part I Version 2 EE IIT, Kharagpur 2 Structural Layout with Example Instructional Objectives After going through

More information

ESL design with the Agility Compiler for SystemC

ESL design with the Agility Compiler for SystemC ESL design with the Agility Compiler for SystemC SystemC behavioral design & synthesis Steve Chappell & Chris Sullivan Celoxica ESL design portfolio Complete ESL design environment Streaming Video Processing

More information

Embedded Design without an OS. By Peter de Ruiter D&E September 21 st, Transfer BV

Embedded Design without an OS. By Peter de Ruiter D&E September 21 st, Transfer BV Embedded Design without an OS By Peter de Ruiter D&E September 21 st, 2010 Transfer BV Since 1988, Transfer is well known in the BeNeLux for Electronic Design Automation (EDA) training, electronic design

More information

The University of Reduced Instruction Set Computer (MARC)

The University of Reduced Instruction Set Computer (MARC) The University of Reduced Instruction Set Computer (MARC) Abstract We present our design of a VHDL-based, RISC processor instantiated on an FPGA for use in undergraduate electrical engineering courses

More information

Veloce2 the Enterprise Verification Platform. Simon Chen Emulation Business Development Director Mentor Graphics

Veloce2 the Enterprise Verification Platform. Simon Chen Emulation Business Development Director Mentor Graphics Veloce2 the Enterprise Verification Platform Simon Chen Emulation Business Development Director Mentor Graphics Agenda Emulation Use Modes Veloce Overview ARM case study Conclusion 2 Veloce Emulation Use

More information

User s Manual. MMX Enhanced MediaGX System Board. MMX Enhanced MediaGX System Board

User s Manual. MMX Enhanced MediaGX System Board. MMX Enhanced MediaGX System Board MMX Enhanced MediaGX System Board MMX Enhanced MediaGX System Board Trademarks and / or Registered trademarks are the properties of their respective owners. User s Manual IBM, PC/AT and PC/XT are trademarks

More information

Overview of Microcontroller and Embedded Systems

Overview of Microcontroller and Embedded Systems UNIT-III Overview of Microcontroller and Embedded Systems Embedded Hardware and Various Building Blocks: The basic hardware components of an embedded system shown in a block diagram in below figure. These

More information

The Veloce Emulator and its Use for Verification and System Integration of Complex Multi-node SOC Computing System

The Veloce Emulator and its Use for Verification and System Integration of Complex Multi-node SOC Computing System The Veloce Emulator and its Use for Verification and System Integration of Complex Multi-node SOC Computing System Laurent VUILLEMIN Platform Compile Software Manager Emulation Division Agenda What is

More information

Multimedia in Mobile Phones. Architectures and Trends Lund

Multimedia in Mobile Phones. Architectures and Trends Lund Multimedia in Mobile Phones Architectures and Trends Lund 091124 Presentation Henrik Ohlsson Contact: henrik.h.ohlsson@stericsson.com Working with multimedia hardware (graphics and displays) at ST- Ericsson

More information

New System Solutions for Laser Printer Applications by Oreste Emanuele Zagano STMicroelectronics

New System Solutions for Laser Printer Applications by Oreste Emanuele Zagano STMicroelectronics New System Solutions for Laser Printer Applications by Oreste Emanuele Zagano STMicroelectronics Introduction Recently, the laser printer market has started to move away from custom OEM-designed 1 formatter

More information

TABLE OF CONTENTS 1. INTRODUCTION 1.1. PREFACE KEY FEATURES PERFORMANCE LIST BLOCK DIAGRAM...

TABLE OF CONTENTS 1. INTRODUCTION 1.1. PREFACE KEY FEATURES PERFORMANCE LIST BLOCK DIAGRAM... Table of Contents TABLE OF CONTENTS 1. INTRODUCTION 1.1. PREFACE... 1-1 1.2. KEY FEATURES... 1-1 1.3. PERFORMANCE LIST... 1-3 1.4. BLOCK DIAGRAM... 1-4 1.5. INTRODUCE THE PCI - BUS... 1-5 1.6. FEATURES...

More information

High Speed Multi-User ASIC/SoC Prototyping system

High Speed Multi-User ASIC/SoC Prototyping system High Speed Multi-User ASIC/SoC Prototyping system Technical Resource Document Date: August 23, 2010 About GiDEL GiDEL has become one of the market leaders as a company that continuously provides cuttingedge

More information

HotChips An innovative HD video and digital image processor for low-cost digital entertainment products. Deepu Talla.

HotChips An innovative HD video and digital image processor for low-cost digital entertainment products. Deepu Talla. HotChips 2007 An innovative HD video and digital image processor for low-cost digital entertainment products Deepu Talla Texas Instruments 1 Salient features of the SoC HD video encode and decode using

More information

Optimizing ARM SoC s with Carbon Performance Analysis Kits. ARM Technical Symposia, Fall 2014 Andy Ladd

Optimizing ARM SoC s with Carbon Performance Analysis Kits. ARM Technical Symposia, Fall 2014 Andy Ladd Optimizing ARM SoC s with Carbon Performance Analysis Kits ARM Technical Symposia, Fall 2014 Andy Ladd Evolving System Requirements Processor Advances big.little Multicore Unicore DSP Cortex -R7 Block

More information

Digital Blocks Semiconductor IP

Digital Blocks Semiconductor IP Digital Blocks Semiconductor IP TFT Controller General Description The Digital Blocks TFT Controller IP Core interfaces a microprocessor and frame buffer memory via the AMBA 2.0 to a TFT panel. In an FPGA,

More information

Cirrus Logic Announces New ARM9-Based Embedded Processor Family Press Presentation February 2004

Cirrus Logic Announces New ARM9-Based Embedded Processor Family Press Presentation February 2004 Cirrus Logic Announces New ARM9-Based Embedded Processor Family Press Presentation February 2004 Cirrus provides the most comprehensive selection of ARM9- based embedded processors, with a wide variety

More information

CS 16: Assembly Language Programming for the IBM PC and Compatibles

CS 16: Assembly Language Programming for the IBM PC and Compatibles CS 16: Assembly Language Programming for the IBM PC and Compatibles Discuss the general concepts Look at IA-32 processor architecture and memory management Dive into 64-bit processors Explore the components

More information

Excalibur Device Overview

Excalibur Device Overview May 2002, ver. 2.0 Data Sheet Features... Combination of a world-class RISC processor system with industryleading programmable logic on a single device Industry-standard ARM922T 32-bit RISC processor core

More information

Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs. August 2015

Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs. August 2015 Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs August 2015 SPMI USB 2.0 SLIMbus RFFE LPDDR 2 LPDDR 3 emmc 4.5 UFS SD 3.0 SD 4.0 UFS Bare Metal Software DSP Software Bare Metal Software

More information

System Level Design with IBM PowerPC Models

System Level Design with IBM PowerPC Models September 2005 System Level Design with IBM PowerPC Models A view of system level design SLE-m3 The System-Level Challenges Verification escapes cost design success There is a 45% chance of committing

More information

Next Generation Multi-Purpose Microprocessor

Next Generation Multi-Purpose Microprocessor Next Generation Multi-Purpose Microprocessor Presentation at MPSA, 4 th of November 2009 www.aeroflex.com/gaisler OUTLINE NGMP key requirements Development schedule Architectural Overview LEON4FT features

More information

Atmel Exploits FPGA Flexibility in Application Development for Customizable Microcontroller-based Systems Peter Bishop, Atmel Corporation 22-Dec-2008

Atmel Exploits FPGA Flexibility in Application Development for Customizable Microcontroller-based Systems Peter Bishop, Atmel Corporation 22-Dec-2008 Atmel Exploits Flexibility in Application Development for Customizable Microcontrollerbased Peter Bishop, Atmel Corporation 22Dec2008 Introduction Designing an embedded microcontrollerbased system poses

More information

EPIC board ensures reliability in the toughest environment

EPIC board ensures reliability in the toughest environment EPIC board ensures reliability in the toughest environment The XE 800 SBC is a high performance single board computer (SBC) with a rich family of essential I/O functions. It integrates video, serial ports,

More information

Review Questions on Computer Basics

Review Questions on Computer Basics Review Questions on Computer Basics 1. Distinguish between and define (see glossary in Maxfield and Brown s Bebop Bytes Back for the definition of terms not found in Andrews A Guide to Managing and Maintaining

More information

Assembly Language for Intel-Based Computers, 4 th Edition. Chapter 2: IA-32 Processor Architecture. Chapter Overview.

Assembly Language for Intel-Based Computers, 4 th Edition. Chapter 2: IA-32 Processor Architecture. Chapter Overview. Assembly Language for Intel-Based Computers, 4 th Edition Kip R. Irvine Chapter 2: IA-32 Processor Architecture Slides prepared by Kip R. Irvine Revision date: 09/25/2002 Chapter corrections (Web) Printing

More information

Introduction to the Personal Computer

Introduction to the Personal Computer Introduction to the Personal Computer 2.1 Describe a computer system A computer system consists of hardware and software components. Hardware is the physical equipment such as the case, storage drives,

More information

L2: FPGA HARDWARE : ADVANCED DIGITAL DESIGN PROJECT FALL 2015 BRANDON LUCIA

L2: FPGA HARDWARE : ADVANCED DIGITAL DESIGN PROJECT FALL 2015 BRANDON LUCIA L2: FPGA HARDWARE 18-545: ADVANCED DIGITAL DESIGN PROJECT FALL 2015 BRANDON LUCIA 18-545: FALL 2014 2 Admin stuff Project Proposals happen on Monday Be prepared to give an in-class presentation Lab 1 is

More information

EEL 5722C Field-Programmable Gate Array Design

EEL 5722C Field-Programmable Gate Array Design EEL 5722C Field-Programmable Gate Array Design Lecture 19: Hardware-Software Co-Simulation* Prof. Mingjie Lin * Rabi Mahapatra, CpSc489 1 How to cosimulate? How to simulate hardware components of a mixed

More information

The Challenges of System Design. Raising Performance and Reducing Power Consumption

The Challenges of System Design. Raising Performance and Reducing Power Consumption The Challenges of System Design Raising Performance and Reducing Power Consumption 1 Agenda The key challenges Visibility for software optimisation Efficiency for improved PPA 2 Product Challenge - Software

More information

EM07 - ESM with Pentium III / Celeron

EM07 - ESM with Pentium III / Celeron EM07 - ESM with Pentium III / Celeron Embedded System Module with: ULP Pentium III / 933 MHz ULV Celeron / 400 or 650 MHz Up to 512 MB DRAM, CompactFlash Dual Fast Ethernet, COM 1 (front) Optional COM

More information

UNIT I [INTRODUCTION TO EMBEDDED COMPUTING AND ARM PROCESSORS] PART A

UNIT I [INTRODUCTION TO EMBEDDED COMPUTING AND ARM PROCESSORS] PART A UNIT I [INTRODUCTION TO EMBEDDED COMPUTING AND ARM PROCESSORS] PART A 1. Distinguish between General purpose processors and Embedded processors. 2. List the characteristics of Embedded Systems. 3. What

More information

Introduction CHAPTER 1

Introduction CHAPTER 1 CHAPTER 1 Introduction The ROBO-667 all-in-one single board computer is designed to fit a high performance Pentium-III based CPU and compatible for high-end computer system with PCI/ISA Bus architecture.

More information

Embedded System Design

Embedded System Design Embedded System Design Stephen A. Edwards Columbia University Spring 2015 Spot the Computer Cars These Days... Embedded Systems: Ubiquitous Computers iphone Laser Keyboard Nikon D300 Video Watch GPS Playstation

More information

1. Data plane blocks can be optimized for different applications. 2. The IP blocks can be reused and the design complexity decreases.

1. Data plane blocks can be optimized for different applications. 2. The IP blocks can be reused and the design complexity decreases. Outline System-on-Chip Qiong Cai System-on-Chip Illustrated SoC Challenges and Current Solutions Intel s Moorestown Platform Designed for Next Generation Smartphones Future SoC: Programmable Accelerator

More information

VLSI Design Automation. Maurizio Palesi

VLSI Design Automation. Maurizio Palesi VLSI Design Automation 1 Outline Technology trends VLSI Design flow (an overview) 2 Outline Technology trends VLSI Design flow (an overview) 3 IC Products Processors CPU, DSP, Controllers Memory chips

More information

A Closer Look at the Epiphany IV 28nm 64 core Coprocessor. Andreas Olofsson PEGPUM 2013

A Closer Look at the Epiphany IV 28nm 64 core Coprocessor. Andreas Olofsson PEGPUM 2013 A Closer Look at the Epiphany IV 28nm 64 core Coprocessor Andreas Olofsson PEGPUM 2013 1 Adapteva Achieves 3 World Firsts 1. First processor company to reach 50 GFLOPS/W 3. First semiconductor company

More information

NIOS CPU Based Embedded Computer System on Programmable Chip

NIOS CPU Based Embedded Computer System on Programmable Chip NIOS CPU Based Embedded Computer System on Programmable Chip 1 Lab Objectives EE8205: Embedded Computer Systems NIOS-II SoPC: PART-I This lab has been constructed to introduce the development of dedicated

More information

Software Driven Verification at SoC Level. Perspec System Verifier Overview

Software Driven Verification at SoC Level. Perspec System Verifier Overview Software Driven Verification at SoC Level Perspec System Verifier Overview June 2015 IP to SoC hardware/software integration and verification flows Cadence methodology and focus Applications (Basic to

More information

MMX Enhanced. 586 GXM-AV Main Board. Trademarks and / or Registered trademarks are the properties of their respective owners.

MMX Enhanced. 586 GXM-AV Main Board. Trademarks and / or Registered trademarks are the properties of their respective owners. 586 GXM-AV Main Board Trademarks and / or Registered trademarks are the properties of their respective owners. User s Manual Version 1.1 The Information presented in this publication has been carefully

More information

CoreTile Express for Cortex-A5

CoreTile Express for Cortex-A5 CoreTile Express for Cortex-A5 For the Versatile Express Family The Versatile Express family development boards provide an excellent environment for prototyping the next generation of system-on-chip designs.

More information

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools Wen-Yen Lin, Ph.D. Department of Electrical Engineering Chang Gung University Email: wylin@mail.cgu.edu.tw March 2013 Agenda Introduction

More information

ARM-Based Embedded Processor Device Overview

ARM-Based Embedded Processor Device Overview ARM-Based Embedded Processor Device Overview February 2001, ver. 1.2 Data Sheet Features... Industry-standard ARM922T 32-bit RISC processor core operating at up to 200 MHz, equivalent to 210 Dhrystone

More information

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica VLSI Design Automation 1 Outline Technology trends VLSI Design flow (an overview) 2 IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing

More information

ZeBu : A Unified Verification Approach for Hardware Designers and Embedded Software Developers

ZeBu : A Unified Verification Approach for Hardware Designers and Embedded Software Developers THE FASTEST VERIFICATION ZeBu : A Unified Verification Approach for Hardware Designers and Embedded Software Developers White Paper April, 2010 www.eve-team.com Introduction Moore s law continues to drive

More information

CMSC 313 Lecture 26 DigSim Assignment 3 Cache Memory Virtual Memory + Cache Memory I/O Architecture

CMSC 313 Lecture 26 DigSim Assignment 3 Cache Memory Virtual Memory + Cache Memory I/O Architecture CMSC 313 Lecture 26 DigSim Assignment 3 Cache Memory Virtual Memory + Cache Memory I/O Architecture UMBC, CMSC313, Richard Chang CMSC 313, Computer Organization & Assembly Language Programming

More information