Next Generation Multipurpose Microprocessor. Activity Overview

Size: px
Start display at page:

Download "Next Generation Multipurpose Microprocessor. Activity Overview"

Transcription

1 Next Generation ultipurpose icroprocessor Activity Overview DAIA 2010 June 1st,

2 Overview NGP is an EA activity developing a multi-core system with higher performance compared to earlier generations of European pace processors Part of the EA roadmap for standard microprocessor components Aeroflex Gaisler's assignment consists of specification, the architectural (VHDL) design, and verification by simulation and on FPGA An FPGA prototype will be delivered by the end of 2010 and followed by synthesis on AIC technology This presentation is an overview of the first part of NGP development and covers: chedule Overview of the hardware architecture New features, target technology, open items oftware support (toolchains, Os, drivers) Additional development support (debugger, I) 2

3 Development chedule Aug 2009: Kick-off Feb 2010: Definition and specification June 2010: First versions of FPGA prototypes Dec 2010: Final RTL code, FPGA Demonstrator Aug 2011: Verified AIC netlist anufacturing of prototype parts not yet decided Development of flight model in a separate contract 3

4 Architectural Overview Quad-core with GR floating point units 128-bit L1 caches, 128-bit bus 256 KiB L2 cache, 256-bit cache line, 4-way LRU 64-bit DDR2-800/DR-PC100 DRA memory interface 32 ib on-chip DRA (if feasible) 4x GRPW2 pacewire 200 bit/s 32-bit, 66 Hz interface 2x 10/100/1000 bit 4x HL (if available on target technology) Debug links:, JTAG, UB, dedicated pw RAP target Target frequency: 400 Hz aximum power consumption: 6W. Idle power 100 mw. 4

5 Architectural Overview 32-bit 400 Hz LEON4 PERF. CNT. IRQCTRL1 IRQCTRL2 IRQP = aster interface(s) = lave interface(s) = noop interface IRQCTRL3 IRQCTRL4 32-bit 400 Hz Timers IRQCTRL emory crubber 64-bit DRA DDR2-800/ DR-PC100 DDR2 AND DRA CTRLs PRO IO 8/16-bit PRO & IO CTRL emory bus 400 Hz L2 Cache / On-Chip DRA CLKGATE 400 Hz tatus lave IO bus Timers IRQTAP GPIO aster U U Processor bus U U 400 Hz Target TRACE Debug bus 400 Hz / JTAG TRACE RAP 400 Hz IOU DA Timers IRQCTRL DU UB tatus aster IO bus PW PW PW PW HL HL HL HL Arbiter 5

6 Architecture Processor bus 32-bit 400 Hz LEON4 PERF. CNT. IRQCTRL1 IRQCTRL2 IRQP = aster interface(s) = lave interface(s) = noop interface IRQCTRL3 IRQCTRL4 32-bit 400 Hz Timers IRQCTRL emory crubber 64-bit DRA DDR2-800/ DR-PC100 DDR2 AND DRA CTRLs PRO IO 8/16-bit PRO & IO CTRL emory bus 400 Hz L2 Cache / On-Chip DRA CLKGATE 400 Hz tatus lave IO bus Timers IRQTAP GPIO aster U U Processor bus U U 400 Hz Target TRACE Debug bus 400 Hz / JTAG TRACE RAP 400 Hz IOU DA Timers IRQCTRL DU UB tatus aster IO bus PW PW PW PW HL HL HL HL Arbiter 6

7 Architecture emory bus 32-bit 400 Hz LEON4 PERF. CNT. IRQCTRL1 IRQCTRL2 IRQP = aster interface(s) = lave interface(s) = noop interface IRQCTRL3 IRQCTRL4 32-bit 400 Hz Timers IRQCTRL emory crubber 64-bit DRA DDR2-800/ DR-PC100 DDR2 AND DRA CTRLs PRO IO 8/16-bit PRO & IO CTRL emory bus 400 Hz L2 Cache / On-Chip DRA CLKGATE 400 Hz tatus lave IO bus Timers IRQTAP GPIO aster U U Processor bus U U 400 Hz Target TRACE Debug bus 400 Hz / JTAG TRACE RAP 400 Hz IOU DA Timers IRQCTRL DU UB tatus aster IO bus PW PW PW PW HL HL HL HL Arbiter 7

8 Architecture I/O buses 32-bit 400 Hz LEON4 PERF. CNT. IRQCTRL1 IRQCTRL2 IRQP = aster interface(s) = lave interface(s) = noop interface IRQCTRL3 IRQCTRL4 32-bit 400 Hz Timers IRQCTRL emory crubber 64-bit DRA DDR2-800/ DR-PC100 DDR2 AND DRA CTRLs PRO IO 8/16-bit PRO & IO CTRL emory bus 400 Hz L2 Cache / On-Chip DRA CLKGATE 400 Hz tatus lave IO bus Timers IRQTAP GPIO aster U U Processor bus U U 400 Hz Target TRACE Debug bus 400 Hz / JTAG TRACE RAP 400 Hz IOU DA Timers IRQCTRL DU UB tatus aster IO bus PW PW PW PW HL HL HL HL Arbiter 8

9 Architecture lave I/O bus 32-bit 400 Hz LEON4 PERF. CNT. IRQCTRL1 IRQCTRL2 IRQP = aster interface(s) = lave interface(s) = noop interface IRQCTRL3 IRQCTRL4 32-bit 400 Hz Timers IRQCTRL emory crubber 64-bit DRA DDR2-800/ DR-PC100 DDR2 AND DRA CTRLs PRO IO 8/16-bit PRO & IO CTRL emory bus 400 Hz L2 Cache / On-Chip DRA CLKGATE 400 Hz tatus lave IO bus Timers IRQTAP GPIO aster U U Processor bus U U 400 Hz Target TRACE Debug bus 400 Hz / JTAG TRACE RAP 400 Hz IOU DA Timers IRQCTRL DU UB tatus aster IO bus PW PW PW PW HL HL HL HL Arbiter 9

10 Architecture aster I/O bus 32-bit 400 Hz LEON4 PERF. CNT. IRQCTRL1 IRQCTRL2 IRQP = aster interface(s) = lave interface(s) = noop interface IRQCTRL3 IRQCTRL4 32-bit 400 Hz Timers IRQCTRL emory crubber 64-bit DRA DDR2-800/ DR-PC100 DDR2 AND DRA CTRLs PRO IO 8/16-bit PRO & IO CTRL emory bus 400 Hz L2 Cache / On-Chip DRA CLKGATE 400 Hz tatus lave IO bus Timers IRQTAP GPIO aster U U Processor bus U U 400 Hz Target TRACE Debug bus 400 Hz / JTAG TRACE RAP 400 Hz IOU DA Timers IRQCTRL DU UB tatus aster IO bus PW PW PW PW HL HL HL HL Arbiter 10

11 Architectural Overview Debug bus 32-bit 400 Hz LEON4 PERF. CNT. IRQCTRL1 IRQCTRL2 IRQP = aster interface(s) = lave interface(s) = noop interface IRQCTRL3 IRQCTRL4 32-bit 400 Hz Timers IRQCTRL emory crubber 64-bit DRA DDR2-800/ DR-PC100 DDR2 AND DRA CTRLs PRO IO 8/16-bit PRO & IO CTRL emory bus 400 Hz L2 Cache / On-Chip DRA CLKGATE 400 Hz tatus lave IO bus Timers IRQTAP GPIO aster U U Processor bus U U 400 Hz Target TRACE Debug bus 400 Hz / JTAG TRACE RAP 400 Hz IOU DA Timers IRQCTRL DU UB tatus aster IO bus PW PW PW PW HL HL HL HL Arbiter 11

12 Architecture Processor bus 32-bit 400 Hz LEON4 PERF. CNT. IRQCTRL1 IRQCTRL2 IRQP = aster interface(s) = lave interface(s) = noop interface IRQCTRL3 IRQCTRL4 32-bit 400 Hz Timers IRQCTRL emory crubber 64-bit DRA DDR2-800/ DR-PC100 DDR2 AND DRA CTRLs PRO IO 8/16-bit PRO & IO CTRL emory bus 400 Hz L2 Cache / On-Chip DRA CLKGATE 400 Hz tatus lave IO bus Timers IRQTAP GPIO aster U U Processor bus U U 400 Hz Target TRACE Debug bus 400 Hz / JTAG TRACE RAP 400 Hz IOU DA Timers IRQCTRL DU UB tatus aster IO bus PW PW PW PW HL HL HL HL Arbiter 12

13 Architecture - IEEE-1754 PARC V8 compliant 32-bit processor 7-stage pipeline, multi-processor support eparate multi-set L1 caches with LRU/LRR/RND, 4-bit parity 64-bit single-clock load/store operation 64-bit register file with BCH 64- or 128-bit bus interface Write combining in store buffer Branch prediction CA support Performance counters On-chip debug support unit with trace buffer Local timer and interrupt controller 1.7 DIP/Hz, 0.6 Wheatstone FLOP/Hz Estimated 0.35 PECINT/Hz, 0.25 PECFP/Hz 2.1 Coreark/Hz (comparable to AR11) 13

14 Architecture - L2 Cache 256 KiB baseline, 4-way, LRU 256-bit internal cache line with 64-bit BCH ECC Copy-back and write-through operation 0-waitstate pipelined write, 3/4-waitstates read hit upport for locking one more more ways Fence registers for backup software protection Essential for P performance scaling Reduces effects of slower memory (DRA) if DDR2 cannot be used Timers IRQCTRL emory crubber DDR2 AND DRA CTRLs emory bus 400 Hz L2 Cache U U Processor bus On-Chip DRA 14

15 Architecture emory bus 32-bit 400 Hz LEON4 PERF. CNT. IRQCTRL1 IRQCTRL2 IRQP = aster interface(s) = lave interface(s) = noop interface IRQCTRL3 IRQCTRL4 32-bit 400 Hz Timers IRQCTRL emory crubber 64-bit DRA DDR2-800/ DR-PC100 DDR2 AND DRA CTRLs PRO IO 8/16-bit PRO & IO CTRL emory bus 400 Hz L2 Cache / On-Chip DRA CLKGATE 400 Hz tatus lave IO bus Timers IRQTAP GPIO aster U U Processor bus U U 400 Hz Target TRACE Debug bus 400 Hz / JTAG TRACE RAP 400 Hz IOU DA Timers IRQCTRL DU UB tatus aster IO bus PW PW PW PW HL HL HL HL Arbiter 15

16 Architecture - emory scrubber Can access external DDR2/DRA and on-chip DRA Performs the following operations: Initialization crubbing emory re-generation Configurable by software Counts correctable errors with option to alert CPU User can define data pattern used for initialization emory crubber DDR2 AND DRA CTRLs emory bus 400 Hz L2 Cache On-Chip DRA 16

17 Architecture - emory Controllers Primary memory interface: DDR2/DRA DDR2-800/DRA PC bit data 16 and 32 bit Reed-olomon ECC Corrects two or four 4-bit errors On-chip DRA (if available on target tech.) Performance of external interfaces: Interface Cache line fetch (ns) ustainable bandwidth (ib/s) in. sys. freq. (Hz) ax. sys. freq. (Hz) DRA PC DDR

18 Architecture lave I/O bus 32-bit 400 Hz LEON4 PERF. CNT. IRQCTRL1 IRQCTRL2 IRQP = aster interface(s) = lave interface(s) = noop interface IRQCTRL3 IRQCTRL4 32-bit 400 Hz Timers IRQCTRL emory crubber 64-bit DRA DDR2-800/ DR-PC100 DDR2 AND DRA CTRLs PRO IO 8/16-bit PRO & IO CTRL emory bus 400 Hz L2 Cache / On-Chip DRA CLKGATE 400 Hz tatus lave IO bus Timers IRQTAP GPIO aster U U Processor bus U U 400 Hz Target TRACE Debug bus 400 Hz / JTAG TRACE RAP 400 Hz IOU DA Timers IRQCTRL DU UB tatus aster IO bus PW PW PW PW HL HL HL HL Arbiter 18

19 Architecture lave I/O bus cores Uni-directional / bridge Reduced load on Processor bus Performs read/write combining 8/16-bit PRO/IO controller with BCH ECC master slave interface bridges connecting all APB slave interfaces to be used in flight: Timers, s, interrupt controllers, GPIO port, arbiter, clock gating unit, pacewire controller, ACs, interrupt time stamp unit, etcetera All core registers are placed on 4 KiB boundaries 19

20 Architecture aster I/O bus 32-bit 400 Hz LEON4 PERF. CNT. IRQCTRL1 IRQCTRL2 IRQP = aster interface(s) = lave interface(s) = noop interface IRQCTRL3 IRQCTRL4 32-bit 400 Hz Timers IRQCTRL emory crubber 64-bit DRA DDR2-800/ DR-PC100 DDR2 AND DRA CTRLs PRO IO 8/16-bit PRO & IO CTRL emory bus 400 Hz L2 Cache / On-Chip DRA CLKGATE 400 Hz tatus lave IO bus Timers IRQTAP GPIO aster U U Processor bus U U 400 Hz Target TRACE Debug bus 400 Hz / JTAG TRACE RAP 400 Hz IOU DA Timers IRQCTRL DU UB tatus aster IO bus PW PW PW PW HL HL HL HL Arbiter 20

21 Uni-directional bridge with IOU Connects all DA capable I/O master through one interface onto the Processor bus Performs pre-fetching and read/write combining Provides address translation and access restriction Will not be required to use the same page tables as the processor aster can be placed in groups where each group can have its own set of page tables IOU 400 Hz aster DA Target aster IO bus PW PW PW PW HL HL HL HL 21

22 Architecture - pacewire 4x Aeroflex Gaisler GRPW2 cores aximum link bit rate will be at least 200 b/s Hardware RAP target in each core Two ports per core (redundant port) Each core has its own DA engine 22

23 Architecture - interface Provides master/target interface 32-bit interface supporting 66 Hz operation Target DA interface is placed on the aster I/O bus while the slave interface is on the lave I/O bus Target has two bars of sizes 256 ib and 64 ib pecification based on GR core. AG is currently developing a new core which is planned to replace GR. / PRO & IO CTRL CLKGATE 400 Hz tatus lave IO bus Timers IRQTAP GPIO aster 400 Hz DA tatus aster IO bus IOU Target PW PW PW PW HL HL HL HL Arbiter 23

24 High-peed erial Link Design has been specified to include 4 HL cores The High-speed erial Link back-end is TBD Inclusion of HL depends on availability of macros on target technology Current status: Target technology will have erdes macros capable of 6.25 Gbit/s operation AG is working with EA to, at a minimum, be able to provide a simple descriptor based DA cored based on GRETH_GBIT or GRPW2 24

25 Gigabit 2x interfaces upports 10/100/1000 bit in both full- and half-duplex DA engine for both receiver and transmitter Internal buffer allows core to buffer a complete packet upports II and GII interfaces to connect an external transceiver upports scatter gather I/O and IPv4 checksum offloading Provides Debug Communication Link 2 KiB E buffer 100 b/s oft configurable E IP/AC addresses E can also be connected to Debug bus Debug bus 400 Hz aster IO bus 400 Hz 25

26 Architectural Overview Debug bus 32-bit 400 Hz LEON4 PERF. CNT. IRQCTRL1 IRQCTRL2 IRQP = aster interface(s) = lave interface(s) = noop interface IRQCTRL3 IRQCTRL4 32-bit 400 Hz Timers IRQCTRL emory crubber 64-bit DRA DDR2-800/ DR-PC100 DDR2 AND DRA CTRLs PRO IO 8/16-bit PRO & IO CTRL emory bus 400 Hz L2 Cache / On-Chip DRA CLKGATE 400 Hz tatus lave IO bus Timers IRQTAP GPIO aster U U Processor bus U U 400 Hz Target TRACE Debug bus 400 Hz / JTAG TRACE RAP 400 Hz IOU DA Timers IRQCTRL DU UB tatus aster IO bus PW PW PW PW HL HL HL HL Arbiter 26

27 Architecture - Cores on Debug bus Debug upport Unit Hardware breakpoints/watchpoints upports debugging multiple cores in parallel Used to monitor processor status Interface to instruction and (Processor bus) trace trace buffer trace buffer monitoring aster I/O bus APB bridge allows direct access to LEON4 statistics unit Wide range of debug communication links 32-bit 400 Hz LEON4 PERF. CNT. DU TRACE Debug bus 400 Hz / TRACE RAP JTAG UB 27

28 Debug Communication Links JTAG Debug Communication Link RAP target Bandwidth: 20 b/s Provides DU access over pw UB Debug Communication Link Bandwidth: 500 kb/s Bandwidth: 20 b/s Debug Links Bandwidth: 100 b/s Can optionally be connected to master I/O bus 28

29 Improved Debugging upport The NGP will have improved debugging support compared to the LEON2FT and many existing LEON3 implementations. The new features include: everal high-speed debug interfaces Non-intrusive debugging through dedicated Debug bus trace buffer with filtering Instruction trace buffer with filtering Hardware data watchpoints Data area monitoring 29

30 Improved Profiling upport (1) The NGP has improved profiling support compared to the LEON2FT and LEON3. The new features allow to measure the following metrics: Processor statistics Instruction/Data cache/tlb miss/hold Data write buffer hold Total/Integer/FP instruction count Branch predication miss Total execution time (excluding debug mode) pecial filters allow counting number of: Integer branches CALL instructions Regular type 2 instructions LOAD and TORE instructions LOAD instructions TORE and instructions 30

31 Improved Profiling upport (2) In addition the processor statistics you can also measure: L2 cache hit/miss rate utilization utilization per master Total utilization Interrupt time stamp unit allows users to measure interrupt handler latencies 31

32 ummary of New Features Features in NGP not found in most present day LEON/LEON-P architectures: Quad core L2 cache with locking Large on-chip RA (32 ib, if available on target) Wider ABA buses Better support for partitioning: IOU Per-processor timers and interrupt cntrlrs Improved debug support (# links, filters, perf. cnt) Improved support for AP Boot options (PRO, RAP) Interrupt time stamping Hardware memory scrubber 32

33 Target technology Baseline is T 65nm space technology Requirements DDR2 PHY I/O standards: LVTTL, TL, emory: 1-port RA, 2-port RA High density 1-port RA/DRA Backup options: UC 90 nm with DARE library Tower 130 nm with Ramon library 33

34 Operating ystems Operating systems that will be ported in this activity: RTE 4.8 and 4.10 WindRiver VxWorks 6.7 with P support ecos 2.0 Linux 2.6 Other Os already ported to LEON include: LynxO (LynuxWorks) Thread (Express Logic) Nucleus (entor Graphics) 34

35 Toolchain The GNU C/C++ toolchain will be used Versions and have been successfully tested OpenP requires GCC 4.4+ and a pthreads implementation RTE 4.8 uses GCC 4.2.4, RTE 4.10 uses GCC 4.4 VxWorks 6.7 uses GCC KPRO2 with support for booting P and AP systems 35

36 imulator NGP simulator based on GRI C models of IP cores linked into a final simulator LEON4 L2 cache and DDR memory interface GRPW, GRETH, GR Reentrant and thread safe library Accuracy goal is above 90% over an extended simulation period 36

37 Debug tools NGP will be fully supported by the GRON debug monitor Complemented by standard RTO trace tools 37

38 Thank you for listening For updates and to download the NGP specification, please see: 38

39 ETRA LIDE 39

40 election of Open Items Choices that are still open include: On-chip DRA (desirable but not likely to be included) 2 or 4 CPU cores hared or individual s (3 possible configurations) External memory type (DDR/DDR2) Configurable DRA width (32/64 data bits) L1/L2 cache size IOU implementation High-speed interfaces Different frequencies of processor bus and other buses pare-column of external memory 40

41 Fault-Tolerance ummary Fault-tolerance in the NGP system is aimed at detecting and correcting EU errors in on-chip and offchip RA L1 cache and register files in are protected using parity and BCH L2 cache will use BCH External DRA will be protected using Reed-olomon Boot PRO will use BCH RA blocks in on-chip IP cores will be protected using BCH or TR, smaller buffers can be synthesized as flipflops Flip-flops will be protected with EU-hardened library cells if available or TR otherwise 41

42 Architecture Interrupt infrastructure 32-bit 400 Hz LEON4 PERF. CNT. IRQCTRL1 IRQCTRL2 IRQP = aster interface(s) = lave interface(s) = noop interface IRQCTRL3 IRQCTRL4 32-bit 400 Hz Timers IRQCTRL emory crubber 64-bit DRA DDR2-800/ DR-PC100 DDR2 AND DRA CTRLs PRO IO 8/16-bit PRO & IO CTRL emory bus 400 Hz L2 Cache / On-Chip DRA CLKGATE 400 Hz tatus lave IO bus Timers IRQTAP GPIO aster U U Processor bus U U 400 Hz Target TRACE Debug bus 400 Hz / JTAG TRACE RAP 400 Hz IOU DA Timers IRQCTRL DU UB tatus aster IO bus PW PW PW PW HL HL HL HL Arbiter 42

43 Interrupt infrastructure pecified to support AP and P Internal processor interrupt controllers hared multiprocessor interrupt controller (IRQP) 4x secondary interrupt controllers General topology: econdary IRQCTRL 3 econdary IRQCTRL 4 IRQP econdary IRQCTRL 1 econdary IRQCTRL 2 43

44 Interrupt infrastructure Cont.. IRQP is connected to each processor Each processor has an internal interrupt controller (not used when the processor core is listening to IRQP) Each secondary interrupt controller is connected to IRQP and to each internal interrupt controller. econdary IRQCTRL 3 econdary IRQCTRL 4 IRQP econdary IRQCTRL 1 econdary IRQCTRL 2 44

45 P Configuration All internal interrupt controllers are disabled Processor cores listen to IRQP ask register in IRQP is used to listen to one or several of the secondary interrupt controllers econdary IRQCTRL 3 econdary IRQCTRL 4 IRQP econdary IRQCTRL 1 econdary IRQCTRL 2 45

46 A()P Configuration Processor cores use their internal interrupt controllers IRQP is not used Each processor uses the internal interrupt controllers mask register to listen to one dedicated secondary interrupt controller econdary IRQCTRL 1 econdary IRQCTRL 2 econdary IRQCTRL 3 econdary IRQCTRL 4 46

47 Interrupt infrastructure round-up Infrastructure also allows mixed configurations: 1x P + (1x or 2x) AP ynchronization via interrupts can be achieved via IRQP or by writing the force register of a secondary interrupt controller Each configuration has the same view of the interrupt lines (local timers only available to the processor in which they are located) 47

48 Prototypes Investigations into AIC prototypes is currently ongoing FPGA prototypes with reduced NGP designs ilinx L510 ynopsys HAP-51 Aeroflex Gaisler GR-C-C4V with L200 FPGA Aeroflex Gaisler GR--C5V 48

Technical Note on NGMP Verification. Next Generation Multipurpose Microprocessor. Contract: 22279/09/NL/JK

Technical Note on NGMP Verification. Next Generation Multipurpose Microprocessor. Contract: 22279/09/NL/JK NGP-EVAL-0013 Date: 2010-12-20 Page: 1 of 7 Technical Note on NGP Verification Next Generation ultipurpose icroprocessor Contract: 22279/09/NL/JK Aeroflex Gaisler AB EA contract: 22279/09/NL/JK Deliverable:

More information

Current and Next Generation LEON SoC Architectures for Space

Current and Next Generation LEON SoC Architectures for Space Current and Next Generation LEON oc Architectures for pace Flight oftware Workshop 2012 November 7 th, 2012 www.aeroflex.com/gaisler Presentation does not contain U Export controlled information (aka ITAR)

More information

Next Generation Multi-Purpose Microprocessor

Next Generation Multi-Purpose Microprocessor Next Generation Multi-Purpose Microprocessor Presentation at MPSA, 4 th of November 2009 www.aeroflex.com/gaisler OUTLINE NGMP key requirements Development schedule Architectural Overview LEON4FT features

More information

DEVELOPING RTEMS SMP FOR LEON3/LEON4 MULTI-PROCESSOR DEVICES. Flight Software Workshop /12/2013

DEVELOPING RTEMS SMP FOR LEON3/LEON4 MULTI-PROCESSOR DEVICES. Flight Software Workshop /12/2013 DEVELOPING RTEMS SMP FOR LEON3/LEON4 MULTI-PROCESSOR DEVICES Flight Software Workshop 2013 12/12/2013 Daniel Hellström Presentation does not contain U.S. Export controlled information (aka ITAR) 12/08/13

More information

SCOC3 (Spacecraft Controller On Chip) ESTEC, Noordwijk, 7 th and 8 th March 2007

SCOC3 (Spacecraft Controller On Chip) ESTEC, Noordwijk, 7 th and 8 th March 2007 COC3 (pacecraft Controller On Chip) ETEC, Noordwijk, 7 th and 8 th arch 2007 Page 1 COC3 - ETEC - PD 7th/8th arch 2007 Contents 1. Project history 2. Project applications 3. Activities 4. CoC3 specification

More information

Processor and Peripheral IP Cores for Microcontrollers in Embedded Space Applications

Processor and Peripheral IP Cores for Microcontrollers in Embedded Space Applications Processor and Peripheral IP Cores for Microcontrollers in Embedded Space Applications Presentation at ADCSS 2010 MESA November 4 th, 2010 www.aeroflex.com/gaisler Presentation outline Microcontroller requirements

More information

ESA Contract 18533/04/NL/JD

ESA Contract 18533/04/NL/JD Date: 2006-05-15 Page: 1 EUROPEAN SPACE AGENCY CONTRACT REPORT The work described in this report was done under ESA contract. Responsibility for the contents resides in the author or organisation that

More information

COMPARISON BETWEEN GR740, LEON4-N2X AND NGMP

COMPARISON BETWEEN GR740, LEON4-N2X AND NGMP Doc..: Date: 2017-08-22 Page: 1 of 11 COMPARISON BETWEEN GR740, LEON4-N2X AND NGMP Doc..: Date: 2017-08-22 Page: 2 of 11 TABLE OF CONTENTS 1 INTRODUCTION... 3 1.1 Scope of the Document... 3 1.2 Reference

More information

LEON4: Fourth Generation of the LEON Processor

LEON4: Fourth Generation of the LEON Processor LEON4: Fourth Generation of the LEON Processor Magnus Själander, Sandi Habinc, and Jiri Gaisler Aeroflex Gaisler, Kungsgatan 12, SE-411 19 Göteborg, Sweden Tel +46 31 775 8650, Email: {magnus, sandi, jiri}@gaisler.com

More information

Rad-Hard Microcontroller For Space Applications

Rad-Hard Microcontroller For Space Applications The most important thing we build is trust ADVANCED ELECTRONIC SOLUTIONS AVIATION SERVICES COMMUNICATIONS AND CONNECTIVITY MISSION SYSTEMS Rad-Hard Microcontroller For Space Applications Fredrik Johansson

More information

Introduction to LEON3, GRLIB

Introduction to LEON3, GRLIB Introduction to LEON3, GRLIB Adi Katav akatav@kaltech.co.il 6201129 4(0) 972+ Ext 101 Introduction to LEON3, GRLIB Few words about KAL: KAL provides professional ASIC consultancy for Digital/Analog ASIC

More information

Building Blocks For System on a Chip Spacecraft Controller on a Chip

Building Blocks For System on a Chip Spacecraft Controller on a Chip PIO/TEST/WDOGN/ 19 ERRORN 2 Clock, Reset CT_PULSE CT_EVENT 4 4 4 SWB0 : Space Wire SWB1 : Space Wire SWB2 : Space Wire HKP Housekeeping Packetizer Context RA CT CCSDS Time anager SWT SWITCH ATRIX IT from

More information

ARM Processors for Embedded Applications

ARM Processors for Embedded Applications ARM Processors for Embedded Applications Roadmap for ARM Processors ARM Architecture Basics ARM Families AMBA Architecture 1 Current ARM Core Families ARM7: Hard cores and Soft cores Cache with MPU or

More information

FPQ6 - MPC8313E implementation

FPQ6 - MPC8313E implementation Formation MPC8313E implementation: This course covers PowerQUICC II Pro MPC8313 - Processeurs PowerPC: NXP Power CPUs FPQ6 - MPC8313E implementation This course covers PowerQUICC II Pro MPC8313 Objectives

More information

Multi-core microcontroller design with Cortex-M processors and CoreSight SoC

Multi-core microcontroller design with Cortex-M processors and CoreSight SoC Multi-core microcontroller design with Cortex-M processors and CoreSight SoC Joseph Yiu, ARM Ian Johnson, ARM January 2013 Abstract: While the majority of Cortex -M processor-based microcontrollers are

More information

LEON3-Fault Tolerant Design Against Radiation Effects ASIC

LEON3-Fault Tolerant Design Against Radiation Effects ASIC LEON3-Fault Tolerant Design Against Radiation Effects ASIC Microelectronic Presentation Days 3 rd Edition 7 March 2007 Table of Contents Page 2 Project Overview Context Industrial Organization LEON3-FT

More information

Analyze system performance using IWB. Interconnect Workbench Dave Huang

Analyze system performance using IWB. Interconnect Workbench Dave Huang Analyze system performance using IWB Interconnect Workbench Dave Huang Perf_analysis@126.com 1 Information Personal peech of personal experience I am on behalf on myself Interconnects Are at the Heart

More information

FCQ2 - P2020 QorIQ implementation

FCQ2 - P2020 QorIQ implementation Formation P2020 QorIQ implementation: This course covers NXP QorIQ P2010 and P2020 - Processeurs PowerPC: NXP Power CPUs FCQ2 - P2020 QorIQ implementation This course covers NXP QorIQ P2010 and P2020 Objectives

More information

Final Presentation. Network on Chip (NoC) for Many-Core System on Chip in Space Applications. December 13, 2017

Final Presentation. Network on Chip (NoC) for Many-Core System on Chip in Space Applications. December 13, 2017 Final Presentation Network on Chip (NoC) for Many-Core System on Chip in Space Applications December 13, 2017 Dr. ir. Gerard Rauwerda Gerard.Rauwerda@recoresystems.com NoC round table Network-on-Chip (NoC)

More information

Performance Optimization for an ARM Cortex-A53 System Using Software Workloads and Cycle Accurate Models. Jason Andrews

Performance Optimization for an ARM Cortex-A53 System Using Software Workloads and Cycle Accurate Models. Jason Andrews Performance Optimization for an ARM Cortex-A53 System Using Software Workloads and Cycle Accurate Models Jason Andrews Agenda System Performance Analysis IP Configuration System Creation Methodology: Create,

More information

Multi-DSP/Micro-Processor Architecture (MDPA) Paul Rastetter Astrium GmbH

Multi-DSP/Micro-Processor Architecture (MDPA) Paul Rastetter Astrium GmbH Multi-DSP/Micro-Processor Architecture (MDPA) Paul Rastetter Astrium GmbH Astrium ASE2 MDPA for New Generation Processor (NGP) Payload Control Processor MDPA (Multi-DSP/ µprocessor Architecture) features:

More information

Designing Embedded Processors in FPGAs

Designing Embedded Processors in FPGAs Designing Embedded Processors in FPGAs 2002 Agenda Industrial Control Systems Concept Implementation Summary & Conclusions Industrial Control Systems Typically Low Volume Many Variations Required High

More information

SoC Platforms and CPU Cores

SoC Platforms and CPU Cores SoC Platforms and CPU Cores COE838: Systems on Chip Design http://www.ee.ryerson.ca/~courses/coe838/ Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrical and Computer Engineering Ryerson University

More information

DMA Accelerator Functional Unit (AFU) User Guide

DMA Accelerator Functional Unit (AFU) User Guide DA Accelerator Functional Unit (AFU) User Guide Updated for Intel Acceleration tack: 1.0 Production ubscribe end Feedback Latest document on the web: PDF HTL Contents Contents 1. About this Document...

More information

GR712RC A MULTI-PROCESSOR DEVICE WITH SPACEWIRE INTERFACES

GR712RC A MULTI-PROCESSOR DEVICE WITH SPACEWIRE INTERFACES GR712RC A MULTI-PROCESSOR DEVICE WITH SPACEWIRE INTERFACES Session: SpaceWire Components Short Paper Sandi Habinc, Jiri Gaisler Aeroflex Gaisler, Kungsgatan 12, SE-411 19 Göteborg, Sweden sandi@gaisler.com

More information

Development an update. Aeroflex Gaisler

Development an update. Aeroflex Gaisler European SpaceWire Router Development an update Sandi Habinc Aeroflex Gaisler Demand for SpaceWire Router Both European and international customers have shown interest in SpaceWire router with greater

More information

Migrating from the UT699 to the UT699E

Migrating from the UT699 to the UT699E Standard Products Application Note Migrating from the UT699 to the UT699E January 2015 www.aeroflex.com/leon Table 1.1 Cross Reference of Applicable Products Product Name: Manufacturer Part Number SMD

More information

V8uC: Sparc V8 micro-controller derived from LEON2-FT

V8uC: Sparc V8 micro-controller derived from LEON2-FT V8uC: Sparc V8 micro-controller derived from LEON2-FT ESA Workshop on Avionics Data, Control and Software Systems Noordwijk, 4 November 2010 Walter Errico SITAEL Aerospace phone: +39 0584 388398 e-mail:

More information

ELEC 5200/6200 Computer Architecture and Design Spring 2017 Lecture 7: Memory Organization Part II

ELEC 5200/6200 Computer Architecture and Design Spring 2017 Lecture 7: Memory Organization Part II ELEC 5200/6200 Computer Architecture and Design Spring 2017 Lecture 7: Organization Part II Ujjwal Guin, Assistant Professor Department of Electrical and Computer Engineering Auburn University, Auburn,

More information

FPQ9 - MPC8360E implementation

FPQ9 - MPC8360E implementation Training MPC8360E implementation: This course covers PowerQUICC II Pro MPC8360E - PowerPC processors: NXP Power CPUs FPQ9 - MPC8360E implementation This course covers PowerQUICC II Pro MPC8360E Objectives

More information

RISC-V Core IP Products

RISC-V Core IP Products RISC-V Core IP Products An Introduction to SiFive RISC-V Core IP Drew Barbier September 2017 drew@sifive.com SiFive RISC-V Core IP Products This presentation is targeted at embedded designers who want

More information

The special radiation-hardened processors for new highly informative experiments in space

The special radiation-hardened processors for new highly informative experiments in space Journal of Physics: Conference Series PAPER OPEN ACCESS The special radiation-hardened processors for new highly informative experiments in space To cite this article: O V Serdin et al 2017 J. Phys.: Conf.

More information

A Boot-Strap Loader and Monitor for SPARC LEON2/3/FT

A Boot-Strap Loader and Monitor for SPARC LEON2/3/FT A Boot-Strap Loader and Monitor for SPARC LEON2/3/FT Les Miklosy PE Software to Spec The SPARC LEON family of processors offer the developer a configurable architecture for 32- bit embedded development

More information

A ONE CHIP HARDENED SOLUTION FOR HIGH SPEED SPACEWIRE SYSTEM IMPLEMENTATIONS

A ONE CHIP HARDENED SOLUTION FOR HIGH SPEED SPACEWIRE SYSTEM IMPLEMENTATIONS A ONE CHIP HARDENED SOLUTION FOR HIGH SPEED SPACEWIRE SYSTEM IMPLEMENTATIONS Joseph R. Marshall, Richard W. Berger, Glenn P. Rakow Conference Contents Standards & Topology ASIC Program History ASIC Features

More information

Multi-DSP/Micro-Processor Architecture (MDPA)

Multi-DSP/Micro-Processor Architecture (MDPA) Multi-DSP/Micro-Processor Architecture (MDPA) Microelectronics Presentation Days 2010 30 March 2010, ESA/ESTEC, Noordwijk T. Helfers; E. Lembke; P. Rastetter; O. Ried Astrium GmbH Content Motivation MDPA

More information

Next Generation Microprocessor Functional Prototype SpaceWire Router Validation Results

Next Generation Microprocessor Functional Prototype SpaceWire Router Validation Results Next Generation Microprocessor Functional Prototype SpaceWire Router Validation Results Jonas Ekergarn, Jan Andersson, Andreas Larsson, Daniel Hellström, Magnus Hjorth Aeroflex Gaisler AB Roland Weigand

More information

CCSDS Time Distribution over SpaceWire

CCSDS Time Distribution over SpaceWire CCSDS Time Distribution over SpaceWire Sandi Habinc, Marko Isomäki, Daniel Hellström Aeroflex Gaisler AB Kungsgatan 12, SE-411 19 Göteborg, Sweden sandi@gaisler.com www.aeroflex.com/gaisler Introduction

More information

Zynq-7000 All Programmable SoC Product Overview

Zynq-7000 All Programmable SoC Product Overview Zynq-7000 All Programmable SoC Product Overview The SW, HW and IO Programmable Platform August 2012 Copyright 2012 2009 Xilinx Introducing the Zynq -7000 All Programmable SoC Breakthrough Processing Platform

More information

An Ultra High Performance Scalable DSP Family for Multimedia. Hot Chips 17 August 2005 Stanford, CA Erik Machnicki

An Ultra High Performance Scalable DSP Family for Multimedia. Hot Chips 17 August 2005 Stanford, CA Erik Machnicki An Ultra High Performance Scalable DSP Family for Multimedia Hot Chips 17 August 2005 Stanford, CA Erik Machnicki Media Processing Challenges Increasing performance requirements Need for flexibility &

More information

DQ8051. Revolutionary Quad-Pipelined Ultra High performance 8051 Microcontroller Core

DQ8051. Revolutionary Quad-Pipelined Ultra High performance 8051 Microcontroller Core DQ8051 Revolutionary Quad-Pipelined Ultra High performance 8051 Microcontroller Core COMPANY OVERVIEW Digital Core Design is a leading IP Core provider and a System-on-Chip design house. The company was

More information

Copyright 2016 Xilinx

Copyright 2016 Xilinx Zynq Architecture Zynq Vivado 2015.4 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: Identify the basic building

More information

The CoreConnect Bus Architecture

The CoreConnect Bus Architecture The CoreConnect Bus Architecture Recent advances in silicon densities now allow for the integration of numerous functions onto a single silicon chip. With this increased density, peripherals formerly attached

More information

C6100 Ruggedized PowerPC VME SBC

C6100 Ruggedized PowerPC VME SBC C6100 Ruggedized PowerPC VME SBC Rugged 6U VME Single Slot SBC Conduction and Air-Cooled Versions Two Asynchronous Serial Interfaces Four 32-Bit Timers G4 MPC7457 PowerPC with AltiVec Technology @ up to

More information

The Alpha and Microprocessors:

The Alpha and Microprocessors: The Alpha 21364 and 21464 icroprocessors: Continuing the Performance Lead Beyond Y2K Shubu ukherjee, Ph.D. Principal Hardware Engineer VSSAD Labs, Alpha Development Group Compaq Computer Corporation Shrewsbury,

More information

Laboratory Exercise 3

Laboratory Exercise 3 Laboratory Exercise 3 Latches, Flip-flops, and egisters The purpose of this exercise is to investigate latches, flip-flops, and registers. Part I Altera FPGAs include flip-flops that are available for

More information

MICROPROCESSOR BASED SYSTEM DESIGN

MICROPROCESSOR BASED SYSTEM DESIGN MICROPROCESSOR BASED SYSTEM DESIGN Lecture 5 Xmega 128 B1: Architecture MUHAMMAD AMIR YOUSAF VON NEUMAN ARCHITECTURE CPU Memory Execution unit ALU Registers Both data and instructions at the same system

More information

CCSDS Unsegmented Code Transfer Protocol (CUCTP)

CCSDS Unsegmented Code Transfer Protocol (CUCTP) CCSDS Unsegmented Code Transfer Protocol (CUCTP) Marko Isomäki, Sandi Habinc Aeroflex Gaisler AB Kungsgatan 12, SE-411 19 Göteborg, Sweden marko@gaisler.com www.aeroflex.com/gaisler Introduction Time synchronization

More information

SoCWire: a SpaceWire inspired fault tolerant Network on Chip approach for reconfigurable System-on-Chip in Space applications

SoCWire: a SpaceWire inspired fault tolerant Network on Chip approach for reconfigurable System-on-Chip in Space applications SoCWire: a SpaceWire inspired fault tolerant Network on Chip approach for reconfigurable System-on-Chip in Space applications Björn Osterloh Institute of Computer and Network Engineering TU Braunschweig,

More information

EMC2. Prototyping and Benchmarking of PikeOS-based and XTRATUM-based systems on LEON4x4

EMC2. Prototyping and Benchmarking of PikeOS-based and XTRATUM-based systems on LEON4x4 EMC2 Prototyping and Benchmarking of PikeOS-based and XTRATUM-based systems on LEON4x4 Introduction Multi-core architectures will be adopted in the next generations of avionics and aerospace systems. Integrated

More information

Design of Next Generation CPU Card for State of the Art Satellite Control Application

Design of Next Generation CPU Card for State of the Art Satellite Control Application Design of Next Generation CPU Card for State of the Art Satellite Control Application Deepa. R [M.Tech], Microelectronics & Control Systems Dayananda Sagar College of Engineering Bangalore, 560078 Rajashekar.

More information

ESL-Based Full System Simulation Platform

ESL-Based Full System Simulation Platform EL-Based Full ystem imulation latform 陳中和 Department of Electrical Engineering Institute of Computer and Communication Engineering National Cheng Kung University NCKU-CALab Term roject-reparation Lab1:

More information

Memory Technology. Chapter 5. Principle of Locality. Chapter 5 Large and Fast: Exploiting Memory Hierarchy 1

Memory Technology. Chapter 5. Principle of Locality. Chapter 5 Large and Fast: Exploiting Memory Hierarchy 1 COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Interface Chapter 5 Large and Fast: Exploiting Memory Hierarchy 5 th Edition Memory Technology Static RAM (SRAM) 0.5ns 2.5ns, $2000 $5000 per GB Dynamic

More information

The Memory Hierarchy & Cache

The Memory Hierarchy & Cache Removing The Ideal Memory Assumption: The Memory Hierarchy & Cache The impact of real memory on CPU Performance. Main memory basic properties: Memory Types: DRAM vs. SRAM The Motivation for The Memory

More information

Bus AMBA. Advanced Microcontroller Bus Architecture (AMBA)

Bus AMBA. Advanced Microcontroller Bus Architecture (AMBA) Bus AMBA Advanced Microcontroller Bus Architecture (AMBA) Rene.beuchat@epfl.ch Rene.beuchat@hesge.ch Réf: AMBA Specification (Rev 2.0) www.arm.com ARM IHI 0011A 1 What to see AMBA system architecture Derivatives

More information

Migrating RC3233x Software to the RC32434/5 Device

Migrating RC3233x Software to the RC32434/5 Device Migrating RC3233x Software to the RC32434/5 Device Application Note AN-445 Introduction By Harpinder Singh and Nebojsa Bjegovic Operating system kernels, board support packages, and other processor-aware

More information

Atmel AT697 validation report

Atmel AT697 validation report Atmel AT697 validation report ESA Contract 18533/04/NL/JD, call-off order 2 GR-AT697-002 Version 1.2 June 2005 Första Långgatan 19 tel +46 31 7758650 SE-413 27 Göteborg fax +46 31 421407 Sweden www.gaisler.com

More information

VLSI Design of Multichannel AMBA AHB

VLSI Design of Multichannel AMBA AHB RESEARCH ARTICLE OPEN ACCESS VLSI Design of Multichannel AMBA AHB Shraddha Divekar,Archana Tiwari M-Tech, Department Of Electronics, Assistant professor, Department Of Electronics RKNEC Nagpur,RKNEC Nagpur

More information

Agile Hardware Design: Building Chips with Small Teams

Agile Hardware Design: Building Chips with Small Teams 2017 SiFive. All Rights Reserved. Agile Hardware Design: Building Chips with Small Teams Yunsup Lee ASPIRE Graduate 2016 Co-Founder and CTO 2 2017 SiFive. All Rights Reserved. World s First Single-Chip

More information

Resource Efficiency of Scalable Processor Architectures for SDR-based Applications

Resource Efficiency of Scalable Processor Architectures for SDR-based Applications Resource Efficiency of Scalable Processor Architectures for SDR-based Applications Thorsten Jungeblut 1, Johannes Ax 2, Gregor Sievers 2, Boris Hübener 2, Mario Porrmann 2, Ulrich Rückert 1 1 Cognitive

More information

LogiCORE IP AXI DMA (v4.00.a)

LogiCORE IP AXI DMA (v4.00.a) DS781 June 22, 2011 Introduction The AXI Direct Memory Access (AXI DMA) core is a soft Xilinx IP core for use with the Xilinx Embedded Development Kit (EDK). The AXI DMA engine provides high-bandwidth

More information

DRPM architecture overview

DRPM architecture overview DRPM architecture overview Jens Hagemeyer, Dirk Jungewelter, Dario Cozzi, Sebastian Korf, Mario Porrmann Center of Excellence Cognitive action Technology, Bielefeld University, Germany Project partners:

More information

Advanced Memory Organizations

Advanced Memory Organizations CSE 3421: Introduction to Computer Architecture Advanced Memory Organizations Study: 5.1, 5.2, 5.3, 5.4 (only parts) Gojko Babić 03-29-2018 1 Growth in Performance of DRAM & CPU Huge mismatch between CPU

More information

COSC 6385 Computer Architecture - Memory Hierarchies (II)

COSC 6385 Computer Architecture - Memory Hierarchies (II) COSC 6385 Computer Architecture - Memory Hierarchies (II) Edgar Gabriel Spring 2018 Types of cache misses Compulsory Misses: first access to a block cannot be in the cache (cold start misses) Capacity

More information

Evaluation of Soft-Core Processors on a Xilinx Virtex-5 Field Programmable Gate Array

Evaluation of Soft-Core Processors on a Xilinx Virtex-5 Field Programmable Gate Array SANDIA REPORT SAND2011-2733 Unlimited Release Printed April 2011 Evaluation of Soft-Core Processors on a Xilinx Virtex-5 Field Programmable Gate Array Mark W. Learn Prepared by Sandia National Laboratories

More information

ESE Back End 2.0. D. Gajski, S. Abdi. (with contributions from H. Cho, D. Shin, A. Gerstlauer)

ESE Back End 2.0. D. Gajski, S. Abdi. (with contributions from H. Cho, D. Shin, A. Gerstlauer) ESE Back End 2.0 D. Gajski, S. Abdi (with contributions from H. Cho, D. Shin, A. Gerstlauer) Center for Embedded Computer Systems University of California, Irvine http://www.cecs.uci.edu 1 Technology advantages

More information

LogiCORE IP AXI DMA (v3.00a)

LogiCORE IP AXI DMA (v3.00a) DS781 March 1, 2011 Introduction The AXI Direct Memory Access (AXI DMA) core is a soft Xilinx IP core for use with the Xilinx Embedded Development Kit (EDK). The AXI DMA engine provides high-bandwidth

More information

KeyStone II. CorePac Overview

KeyStone II. CorePac Overview KeyStone II ARM Cortex A15 CorePac Overview ARM A15 CorePac in KeyStone II Standard ARM Cortex A15 MPCore processor Cortex A15 MPCore version r2p2 Quad core, dual core, and single core variants 4096kB

More information

1. PowerPC 970MP Overview

1. PowerPC 970MP Overview 1. The IBM PowerPC 970MP reduced instruction set computer (RISC) microprocessor is an implementation of the PowerPC Architecture. This chapter provides an overview of the features of the 970MP microprocessor

More information

LogiCORE IP AXI Video Direct Memory Access (axi_vdma) (v3.01.a)

LogiCORE IP AXI Video Direct Memory Access (axi_vdma) (v3.01.a) DS799 June 22, 2011 LogiCORE IP AXI Video Direct Memory Access (axi_vdma) (v3.01.a) Introduction The AXI Video Direct Memory Access (AXI VDMA) core is a soft Xilinx IP core for use with the Xilinx Embedded

More information

ARM CORTEX-R52. Target Audience: Engineers and technicians who develop SoCs and systems based on the ARM Cortex-R52 architecture.

ARM CORTEX-R52. Target Audience: Engineers and technicians who develop SoCs and systems based on the ARM Cortex-R52 architecture. ARM CORTEX-R52 Course Family: ARMv8-R Cortex-R CPU Target Audience: Engineers and technicians who develop SoCs and systems based on the ARM Cortex-R52 architecture. Duration: 4 days Prerequisites and related

More information

Booting a LEON system over SpaceWire RMAP. Application note Doc. No GRLIB-AN-0002 Issue 2.1

Booting a LEON system over SpaceWire RMAP. Application note Doc. No GRLIB-AN-0002 Issue 2.1 Template: GQMS-TPLT-1-1-0 Booting a LEON system over SpaceWire RMAP Application note 2017-05-23 Doc. No Issue 2.1 Date: 2017-05-23 Page: 2 of 11 CHANGE RECORD Issue Date Section / Page Description 1.0

More information

Hardware Implementation of TRaX Architecture

Hardware Implementation of TRaX Architecture Hardware Implementation of TRaX Architecture Thesis Project Proposal Tim George I. Project Summery The hardware ray tracing group at the University of Utah has designed an architecture for rendering graphics

More information

SoC FPGAs. Your User-Customizable System on Chip Altera Corporation Public

SoC FPGAs. Your User-Customizable System on Chip Altera Corporation Public SoC FPGAs Your User-Customizable System on Chip Embedded Developers Needs Low High Increase system performance Reduce system power Reduce board size Reduce system cost 2 Providing the Best of Both Worlds

More information

Power Matters. Antifuse Product Information Brochure

Power Matters. Antifuse Product Information Brochure Power atters. Antifuse Product Information Brochure Providing industry-leading FPGAs and SoCs for applications where security is vital, reliability is non-negotiable and power matters. 2 www.microsemi.com/fpga-soc

More information

Contents of this presentation: Some words about the ARM company

Contents of this presentation: Some words about the ARM company The architecture of the ARM cores Contents of this presentation: Some words about the ARM company The ARM's Core Families and their benefits Explanation of the ARM architecture Architecture details, features

More information

Advanced Computing, Memory and Networking Solutions for Space

Advanced Computing, Memory and Networking Solutions for Space Advanced Computing, Memory and Networking Solutions for Space 25 th Microelectronics Workshop November 2012 µp, Networking Solutions and Memories Microprocessor building on current LEON 3FT offerings UT699E:

More information

Computer Architecture Computer Science & Engineering. Chapter 5. Memory Hierachy BK TP.HCM

Computer Architecture Computer Science & Engineering. Chapter 5. Memory Hierachy BK TP.HCM Computer Architecture Computer Science & Engineering Chapter 5 Memory Hierachy Memory Technology Static RAM (SRAM) 0.5ns 2.5ns, $2000 $5000 per GB Dynamic RAM (DRAM) 50ns 70ns, $20 $75 per GB Magnetic

More information

AN OCM BASED SHARED MEMORY CONTROLLER FOR VIRTEX 4. Bas Breijer, Filipa Duarte, and Stephan Wong

AN OCM BASED SHARED MEMORY CONTROLLER FOR VIRTEX 4. Bas Breijer, Filipa Duarte, and Stephan Wong AN OCM BASED SHARED MEMORY CONTROLLER FOR VIRTEX 4 Bas Breijer, Filipa Duarte, and Stephan Wong Computer Engineering, EEMCS Delft University of Technology Mekelweg 4, 2826CD, Delft, The Netherlands email:

More information

ECE 551 System on Chip Design

ECE 551 System on Chip Design ECE 551 System on Chip Design Introducing Bus Communications Garrett S. Rose Fall 2018 Emerging Applications Requirements Data Flow vs. Processing µp µp Mem Bus DRAMC Core 2 Core N Main Bus µp Core 1 SoCs

More information

Design Space Exploration for Memory Subsystems of VLIW Architectures

Design Space Exploration for Memory Subsystems of VLIW Architectures E University of Paderborn Dr.-Ing. Mario Porrmann Design Space Exploration for Memory Subsystems of VLIW Architectures Thorsten Jungeblut 1, Gregor Sievers, Mario Porrmann 1, Ulrich Rückert 2 1 System

More information

Test and Verification Solutions. ARM Based SOC Design and Verification

Test and Verification Solutions. ARM Based SOC Design and Verification Test and Verification Solutions ARM Based SOC Design and Verification 7 July 2008 1 7 July 2008 14 March 2 Agenda System Verification Challenges ARM SoC DV Methodology ARM SoC Test bench Construction Conclusion

More information

Page 1 SPACEWIRE SEMINAR 4/5 NOVEMBER 2003 JF COLDEFY / C HONVAULT

Page 1 SPACEWIRE SEMINAR 4/5 NOVEMBER 2003 JF COLDEFY / C HONVAULT Page 1 SPACEWIRE SEMINAR 4/5 NOVEMBER 2003 JF COLDEFY / C HONVAULT INTRODUCTION The SW IP was developped in the frame of the ESA 13345/#3 contract "Building block for System on a Chip" This presentation

More information

VXS-621 FPGA & PowerPC VXS Multiprocessor

VXS-621 FPGA & PowerPC VXS Multiprocessor VXS-621 FPGA & PowerPC VXS Multiprocessor Xilinx Virtex -5 FPGA for high performance processing On-board PowerPC CPU for standalone operation, communications management and user applications Two PMC/XMC

More information

Chapter 3. Top Level View of Computer Function and Interconnection. Yonsei University

Chapter 3. Top Level View of Computer Function and Interconnection. Yonsei University Chapter 3 Top Level View of Computer Function and Interconnection Contents Computer Components Computer Function Interconnection Structures Bus Interconnection PCI 3-2 Program Concept Computer components

More information

A 1-GHz Configurable Processor Core MeP-h1

A 1-GHz Configurable Processor Core MeP-h1 A 1-GHz Configurable Processor Core MeP-h1 Takashi Miyamori, Takanori Tamai, and Masato Uchiyama SoC Research & Development Center, TOSHIBA Corporation Outline Background Pipeline Structure Bus Interface

More information

Midterm Exam. Solutions

Midterm Exam. Solutions Midterm Exam Solutions Problem 1 List at least 3 advantages of implementing selected portions of a complex design in software Software vs. Hardware Trade-offs Improve Performance Improve Energy Efficiency

More information

An Efficient Multi Mode and Multi Resolution Based AHB Bus Tracer

An Efficient Multi Mode and Multi Resolution Based AHB Bus Tracer An Efficient Multi Mode and Multi Resolution Based AHB Bus Tracer Abstract: Waheeda Begum M.Tech, VLSI Design & Embedded System, Department of E&CE, Lingaraj Appa Engineering College, Bidar. On-Chip program

More information

of Soft Core Processor Clock Synchronization DDR Controller and SDRAM by Using RISC Architecture

of Soft Core Processor Clock Synchronization DDR Controller and SDRAM by Using RISC Architecture Enhancement of Soft Core Processor Clock Synchronization DDR Controller and SDRAM by Using RISC Architecture Sushmita Bilani Department of Electronics and Communication (Embedded System & VLSI Design),

More information

Introduction Electrical Considerations Data Transfer Synchronization Bus Arbitration VME Bus Local Buses PCI Bus PCI Bus Variants Serial Buses

Introduction Electrical Considerations Data Transfer Synchronization Bus Arbitration VME Bus Local Buses PCI Bus PCI Bus Variants Serial Buses Introduction Electrical Considerations Data Transfer Synchronization Bus Arbitration VME Bus Local Buses PCI Bus PCI Bus Variants Serial Buses 1 Most of the integrated I/O subsystems are connected to the

More information

Hardware Design. MicroBlaze 7.1. This material exempt per Department of Commerce license exception TSU Xilinx, Inc. All Rights Reserved

Hardware Design. MicroBlaze 7.1. This material exempt per Department of Commerce license exception TSU Xilinx, Inc. All Rights Reserved Hardware Design MicroBlaze 7.1 This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: List the MicroBlaze 7.1 Features List

More information

The Memory Hierarchy. Cache, Main Memory, and Virtual Memory (Part 2)

The Memory Hierarchy. Cache, Main Memory, and Virtual Memory (Part 2) The Memory Hierarchy Cache, Main Memory, and Virtual Memory (Part 2) Lecture for CPSC 5155 Edward Bosworth, Ph.D. Computer Science Department Columbus State University Cache Line Replacement The cache

More information

AN OPEN-SOURCE VHDL IP LIBRARY WITH PLUG&PLAY CONFIGURATION

AN OPEN-SOURCE VHDL IP LIBRARY WITH PLUG&PLAY CONFIGURATION AN OPEN-SOURCE VHDL IP LIBRARY WITH PLUG&PLAY CONFIGURATION Jiri Gaisler Gaisler Research, Första Långgatan 19, 413 27 Göteborg, Sweden Abstract: Key words: An open-source IP library based on the AMBA-2.0

More information

Place Your Logo Here. K. Charles Janac

Place Your Logo Here. K. Charles Janac Place Your Logo Here K. Charles Janac President and CEO Arteris is the Leading Network on Chip IP Provider Multiple Traffic Classes Low Low cost cost Control Control CPU DSP DMA Multiple Interconnect Types

More information

A 1.5GHz Third Generation Itanium Processor

A 1.5GHz Third Generation Itanium Processor A 1.5GHz Third Generation Itanium Processor Jason Stinson, Stefan Rusu Intel Corporation, Santa Clara, CA 1 Outline Processor highlights Process technology details Itanium processor evolution Block diagram

More information

Adapted from David Patterson s slides on graduate computer architecture

Adapted from David Patterson s slides on graduate computer architecture Mei Yang Adapted from David Patterson s slides on graduate computer architecture Introduction Ten Advanced Optimizations of Cache Performance Memory Technology and Optimizations Virtual Memory and Virtual

More information

MICROPROCESSOR TECHNOLOGY

MICROPROCESSOR TECHNOLOGY MICROPROCESSOR TECHNOLOGY Assis. Prof. Hossam El-Din Moustafa Lecture 16 Ch.7 The 80386 and 80486 Microprocessors 21-Apr-15 1 System Descriptors The system descriptor defines information about the system

More information

White Paper The Need for a High-Bandwidth Memory Architecture in Programmable Logic Devices

White Paper The Need for a High-Bandwidth Memory Architecture in Programmable Logic Devices Introduction White Paper The Need for a High-Bandwidth Memory Architecture in Programmable Logic Devices One of the challenges faced by engineers designing communications equipment is that memory devices

More information

Memory latency: Affects cache miss penalty. Measured by:

Memory latency: Affects cache miss penalty. Measured by: Main Memory Main memory generally utilizes Dynamic RAM (DRAM), which use a single transistor to store a bit, but require a periodic data refresh by reading every row. Static RAM may be used for main memory

More information

Memory latency: Affects cache miss penalty. Measured by:

Memory latency: Affects cache miss penalty. Measured by: Main Memory Main memory generally utilizes Dynamic RAM (DRAM), which use a single transistor to store a bit, but require a periodic data refresh by reading every row. Static RAM may be used for main memory

More information

Main Memory. EECC551 - Shaaban. Memory latency: Affects cache miss penalty. Measured by:

Main Memory. EECC551 - Shaaban. Memory latency: Affects cache miss penalty. Measured by: Main Memory Main memory generally utilizes Dynamic RAM (DRAM), which use a single transistor to store a bit, but require a periodic data refresh by reading every row (~every 8 msec). Static RAM may be

More information