SCOC3 (Spacecraft Controller On Chip) ESTEC, Noordwijk, 7 th and 8 th March 2007

Size: px
Start display at page:

Download "SCOC3 (Spacecraft Controller On Chip) ESTEC, Noordwijk, 7 th and 8 th March 2007"

Transcription

1 COC3 (pacecraft Controller On Chip) ETEC, Noordwijk, 7 th and 8 th arch 2007 Page 1 COC3 - ETEC - PD 7th/8th arch 2007

2 Contents 1. Project history 2. Project applications 3. Activities 4. CoC3 specification (main outlines) 5. CoC3 architecture 6. CoC3 /W 7. CoC3 development methodology (including testability/validation) 8. Planning 9. Conclusions Page 2 COC3 - ETEC - PD 7th/8th arch 2007

3 1) Project history CoC1 : First contract 2000: EA contract called "Building Blocks for ystem on a Chip" to: Define a methodology for the integration of system within an AIC Design a large COC1 AIC through FPGA prototyping based on LEON1. Contract stopped at FPGA prototyping step CoC2 : ATRIU CoC2 development continuation based on LEON2 with AEVA FPGA prototype board to improve the architecture and develop new IPs CoC3 : The aim of the current EA contract CoC3 is a continuation process: by moving to the LEON3 and improving the architecture in terms of performance, by developing new IPs and improving existing IPs to simulate and validate the CoC3, to assess the gate level design phase by performing synthesis and static timing analysis on a selected technology. Page 3 COC3 - ETEC - PD 7th/8th arch 2007

4 2) Project Applications ain issue: P/F applications Telecommunication satellites: High Reliability Earth Observation and cience satellites: From High Reliability to simplex implementation icro satellites with same reliability factor as EO & cience but also low cost approach Others like Probes, Launchers, Navigation, Formation flying, Page 4 COC3 - ETEC - PD 7th/8th arch 2007

5 3) Activities Phase 1 (TRP) Financing EA EA ATRIU ATRIU Tasks Initial analysis and requirement specification Architectural design: Architecture study of block interconnect scheme Functional specification of the IP macros Development / modification for adaptation of the IP macros imulation at VHDL RTL level / Environment developed in VHDL on a «standard» basis /W development (drivers, boot, self-tests, ) OC approach is verified / debugged on a FPGA demonstration board with OC function integrated in a reprogrammable FPGA: Activity performed in parallel with the simulation and /W development Progressive integration of the IP macros Page 5 COC3 - ETEC - PD 7th/8th arch 2007

6 3) Activities Phase 2 (GTP under construction) Financing EA EA ATRIU Tasks Asic back-end Foundry Board validation Page 6 COC3 - ETEC - PD 7th/8th arch 2007

7 4) CoC3 pecification AOC/Data Handling on single chip including I/O (1553, pw, CAN, UART, ), CCD TC, CCD T, CCD Time ngt Processor LEON3-FT with GRFPU-FT at 120 Hz ABA architecture Power management IP onitor: ABA statistics and trace ature technology: ATC18RHA with qualified package BGA472 Page 7 COC3 - ETEC - PD 7th/8th arch 2007

8 5) COC3 Architecture The processor bloc is based on LEON3 µp with GRFPU, a memory controller, UART and pacewire interfaces communicating via the CPU-AHB ABA bus The on-board I/O subsystem providing UART, CAN, pw, IL1553 BC/RT. Data exchanges are of DA type in the I/O memory The T/TC subsystem provides one packet telemetry encoder (PTE) and one telecommand decoder (TCDA) unit, with AP interface The CCD time generator and an event switch matrix, clock and reset distribution, debug support Page 8 COC3 - ETEC - PD 7th/8th arch 2007

9 5) COC3 Architecture CPU EORY TCDA AP TC R & CONF pw 1 APBR CPU LEON3/ GRFPU Inst/Data Cache DU Inst TraceBuffer AHBTraceBuffer LEON3 CTL (RA, DRA) TTC APB CPU APB CPU AHB BU (arbiter, decoder) PTE APBR TTC HDA AHBR 2nd IRQ controller UART 1 UART 2 VC0-6 VC7-Idle pw 2 pw 3 TraceBuffer tatsbuffer IP onitor IO AHB BU (arbiter, decoder) APBR IO IO CTL (RA, DRA, PROs) IO EORY HKPF IO APB Ccsds Timeng IC Clock & Reset UART GP1 UART GP2 CAN 1 CAN 2 pw JTAG & CAN CPU function T/TC function IO functionalities General ain clock/other clock interface IO Count: 307 Gates Count: 900 kgates Date: 2007/03/07 PD EA Page 9 COC3 - ETEC - PD 7th/8th arch 2007

10 5) COC3 Architecture - ain IP block module LEON3-FT PARC µp IP U, GRFPU-FT AHB/APB odule pacewire IP with RAP functionality CCD T IP CCD TC IP CCD Time ngt IP ource Gaisler Research EAD Astrium EA EAD Astrium EA 1553 IP EAD Astrium CAN IP UART IP emory Controller IP (RA, DRA) iscelaneous (AHB/AHB, Clocks, Housekeeping) onitor IP (real time debug and tests) EA Gaisler Research EAD Astrium EAD Astrium EAD Astrium Page 10 COC3 - ETEC - PD 7th/8th arch 2007

11 5) COC3 Architecture - Operating modes Full mode - COC3 acts as spacecraft main computer Processor and I/O only - COC3 can be used for payload data handling and processing T/TC only - COC3 can replace a conventional T/TC subsystem using discrete components Processor and T/TC - COC3 can be used as main computer next to a separate on-board communication structure Page 11 COC3 - ETEC - PD 7th/8th arch 2007

12 6) CoC3 oftware Drivers with IPs Development environment based on C Tools: GRON, TI, CoC3 simulator created RTE and/or VxWORK Operating ystem ervice Interface software Reuse of DH layers from Pleïades or Bepi-Colombp Page 12 COC3 - ETEC - PD 7th/8th arch 2007

13 7) CoC3 Development methodology OC Design leads to find out solutions for: tandardization of the interfaces, internal and external Internal for easy interconnect of IP based on the use of a catalogue of IP External to reduce the number of IP to develop Current standardization of the methodology of validation of OC model (RTL) Hardware/oftware Co-simulation with proprietary solutions Prototype of the CoC on FPGA and deliver to users/software developers models imulation remains simulation and only emulates the use of the OC in modelled environment Accelerate the bug discovery CoC validation in equipment environment Page 13 COC3 - ETEC - PD 7th/8th arch 2007

14 8) CoC3 planning Phase 1: Phase 2: Under discussion Page 14 COC3 - ETEC - PD 7th/8th arch 2007

15 9) Conclusion Product: On Board Computer core low power/low cost/ low volume ethodology: Ever improving the reuse from IPs and standard bus oftware: Development improved using commercial tools and could be provided with dedicated software support Integration: One AIC allowing different operating modes and several architecture Contacts: Roland Weigand ETEC roland.weigand [at] esa.int Franck Koebel EAD Astrium franck.koebel [at] astrium.eads.net arc ouyri EAD Astrium marc.souyri [at] astrium.eads.net Jean-Francois Coldefy EAD Astrium jean-francois.coldefy [at] astrium.eads.net Page 15 COC3 - ETEC - PD 7th/8th arch 2007

Building Blocks For System on a Chip Spacecraft Controller on a Chip

Building Blocks For System on a Chip Spacecraft Controller on a Chip PIO/TEST/WDOGN/ 19 ERRORN 2 Clock, Reset CT_PULSE CT_EVENT 4 4 4 SWB0 : Space Wire SWB1 : Space Wire SWB2 : Space Wire HKP Housekeeping Packetizer Context RA CT CCSDS Time anager SWT SWITCH ATRIX IT from

More information

Technical Note on NGMP Verification. Next Generation Multipurpose Microprocessor. Contract: 22279/09/NL/JK

Technical Note on NGMP Verification. Next Generation Multipurpose Microprocessor. Contract: 22279/09/NL/JK NGP-EVAL-0013 Date: 2010-12-20 Page: 1 of 7 Technical Note on NGP Verification Next Generation ultipurpose icroprocessor Contract: 22279/09/NL/JK Aeroflex Gaisler AB EA contract: 22279/09/NL/JK Deliverable:

More information

TRP activity. Further Development of the Spacecraft Controller on a Chip EXECUTIVE SUMMARY EUROPEAN SPACE AGENCY CONTRACT REPORT

TRP activity. Further Development of the Spacecraft Controller on a Chip EXECUTIVE SUMMARY EUROPEAN SPACE AGENCY CONTRACT REPORT TRP activity Further Development of the pacecraft Controller on a Chip EXECUTIVE UARY EUROPEAN PACE AGENCY CONTRACT REPORT The work described in this report was done under EA contract. Responsibility for

More information

Current and Next Generation LEON SoC Architectures for Space

Current and Next Generation LEON SoC Architectures for Space Current and Next Generation LEON oc Architectures for pace Flight oftware Workshop 2012 November 7 th, 2012 www.aeroflex.com/gaisler Presentation does not contain U Export controlled information (aka ITAR)

More information

Next Generation Multipurpose Microprocessor. Activity Overview

Next Generation Multipurpose Microprocessor. Activity Overview Next Generation ultipurpose icroprocessor Activity Overview DAIA 2010 June 1st, 2010 www.aeroflex.com/gaisler Overview NGP is an EA activity developing a multi-core system with higher performance compared

More information

Next Generation Multi-Purpose Microprocessor

Next Generation Multi-Purpose Microprocessor Next Generation Multi-Purpose Microprocessor Presentation at MPSA, 4 th of November 2009 www.aeroflex.com/gaisler OUTLINE NGMP key requirements Development schedule Architectural Overview LEON4FT features

More information

SpaceWire Remote Terminal Controller

SpaceWire Remote Terminal Controller Remote Terminal Controller Presented by Jørgen Ilstad On board Payload Data Section, ESTEC Wahida Gasti, ESA ESTEC Co Authors Sandi Habinc, Gaisler Research Peter Sinander, SAAB Space Slide : 1 Overview

More information

TRP and GSTP activity. Spacecraft Controller On a Chip (SCOC3) ASIC Manufacturing, Test and Validation EXECUTIVE SUMMARY

TRP and GSTP activity. Spacecraft Controller On a Chip (SCOC3) ASIC Manufacturing, Test and Validation EXECUTIVE SUMMARY TRP and GSTP activity Spacecraft Controller On a Chip (SCOC3) ASIC Manufacturing, Test and Validation EXECUTIVE SUMMARY EUROPEAN SPACE AGENCY CONTRACT REPORT The work described in this report was done

More information

Intellectual Property Macrocell for. SpaceWire Interface. Compliant with AMBA-APB Bus

Intellectual Property Macrocell for. SpaceWire Interface. Compliant with AMBA-APB Bus Intellectual Property Macrocell for SpaceWire Interface Compliant with AMBA-APB Bus L. Fanucci, A. Renieri, P. Terreni Tel. +39 050 2217 668, Fax. +39 050 2217522 Email: luca.fanucci@iet.unipi.it - 1 -

More information

Multi-DSP/Micro-Processor Architecture (MDPA)

Multi-DSP/Micro-Processor Architecture (MDPA) Multi-DSP/Micro-Processor Architecture (MDPA) Microelectronics Presentation Days 2010 30 March 2010, ESA/ESTEC, Noordwijk T. Helfers; E. Lembke; P. Rastetter; O. Ried Astrium GmbH Content Motivation MDPA

More information

Multi-DSP/Micro-Processor Architecture (MDPA) Paul Rastetter Astrium GmbH

Multi-DSP/Micro-Processor Architecture (MDPA) Paul Rastetter Astrium GmbH Multi-DSP/Micro-Processor Architecture (MDPA) Paul Rastetter Astrium GmbH Astrium ASE2 MDPA for New Generation Processor (NGP) Payload Control Processor MDPA (Multi-DSP/ µprocessor Architecture) features:

More information

Introduction to LEON3, GRLIB

Introduction to LEON3, GRLIB Introduction to LEON3, GRLIB Adi Katav akatav@kaltech.co.il 6201129 4(0) 972+ Ext 101 Introduction to LEON3, GRLIB Few words about KAL: KAL provides professional ASIC consultancy for Digital/Analog ASIC

More information

Processor and Peripheral IP Cores for Microcontrollers in Embedded Space Applications

Processor and Peripheral IP Cores for Microcontrollers in Embedded Space Applications Processor and Peripheral IP Cores for Microcontrollers in Embedded Space Applications Presentation at ADCSS 2010 MESA November 4 th, 2010 www.aeroflex.com/gaisler Presentation outline Microcontroller requirements

More information

ESA IPs & SoCs developments

ESA IPs & SoCs developments ESA IPs & SoCs developments Picture courtesy of: Lightwave esearch Laboratory Columbia University NY 1 ESA IP cores portfolio Processor Leon2 FT Fault tolerant Sparc V8 architecture Data handling Interfaces

More information

ESA Contract 18533/04/NL/JD

ESA Contract 18533/04/NL/JD Date: 2006-05-15 Page: 1 EUROPEAN SPACE AGENCY CONTRACT REPORT The work described in this report was done under ESA contract. Responsibility for the contents resides in the author or organisation that

More information

Fujitsu System Applications Support. Fujitsu Microelectronics America, Inc. 02/02

Fujitsu System Applications Support. Fujitsu Microelectronics America, Inc. 02/02 Fujitsu System Applications Support 1 Overview System Applications Support SOC Application Development Lab Multimedia VoIP Wireless Bluetooth Processors, DSP and Peripherals ARM Reference Platform 2 SOC

More information

ESL-Based Full System Simulation Platform

ESL-Based Full System Simulation Platform EL-Based Full ystem imulation latform 陳中和 Department of Electrical Engineering Institute of Computer and Communication Engineering National Cheng Kung University NCKU-CALab Term roject-reparation Lab1:

More information

Network on Chip round table European Space Agency, ESTEC Noordwijk / The Netherlands 17 th and 18 th of September 2009

Network on Chip round table European Space Agency, ESTEC Noordwijk / The Netherlands 17 th and 18 th of September 2009 Network on Chip round table European Space Agency, ESTEC Noordwijk / The Netherlands 17 th and 18 th of September 2009 Ph. Armbruster Head of Data Systems Division European Space Agency - ESTEC 17 th of

More information

Fujitsu SOC Fujitsu Microelectronics America, Inc.

Fujitsu SOC Fujitsu Microelectronics America, Inc. Fujitsu SOC 1 Overview Fujitsu SOC The Fujitsu Advantage Fujitsu Solution Platform IPWare Library Example of SOC Engagement Model Methodology and Tools 2 SDRAM Raptor AHB IP Controller Flas h DM A Controller

More information

The SpaceWire RTC: Remote Terminal Controller

The SpaceWire RTC: Remote Terminal Controller SpaceWire-SnP Working Group ESTEC, Sept 15 th, 2004 The : Remote Terminal Controller Data Systems Division luca.tunesi@esa.int ESTEC, Sept 15 th, 2004 slide: 1 Background: the OPDPS Low/Med. Speed Bus:CAN

More information

V8uC: Sparc V8 micro-controller derived from LEON2-FT

V8uC: Sparc V8 micro-controller derived from LEON2-FT V8uC: Sparc V8 micro-controller derived from LEON2-FT ESA Workshop on Avionics Data, Control and Software Systems Noordwijk, 4 November 2010 Walter Errico SITAEL Aerospace phone: +39 0584 388398 e-mail:

More information

Bus AMBA. Advanced Microcontroller Bus Architecture (AMBA)

Bus AMBA. Advanced Microcontroller Bus Architecture (AMBA) Bus AMBA Advanced Microcontroller Bus Architecture (AMBA) Rene.beuchat@epfl.ch Rene.beuchat@hesge.ch Réf: AMBA Specification (Rev 2.0) www.arm.com ARM IHI 0011A 1 What to see AMBA system architecture Derivatives

More information

LEON4: Fourth Generation of the LEON Processor

LEON4: Fourth Generation of the LEON Processor LEON4: Fourth Generation of the LEON Processor Magnus Själander, Sandi Habinc, and Jiri Gaisler Aeroflex Gaisler, Kungsgatan 12, SE-411 19 Göteborg, Sweden Tel +46 31 775 8650, Email: {magnus, sandi, jiri}@gaisler.com

More information

SCOC DOCUMENT CHANGE LOG. Date Modification Nb Modified pages Observations

SCOC DOCUMENT CHANGE LOG. Date Modification Nb Modified pages Observations Page : ii DOCUMENT CHANGE LOG Issue/ Revision Date Modification Nb Modified pages Observations 0/0 Creation PAGE ISSUE RECORD Issue of this document comprises the following pages at the issue shown Page

More information

Place Your Logo Here. K. Charles Janac

Place Your Logo Here. K. Charles Janac Place Your Logo Here K. Charles Janac President and CEO Arteris is the Leading Network on Chip IP Provider Multiple Traffic Classes Low Low cost cost Control Control CPU DSP DMA Multiple Interconnect Types

More information

AT697E LEON2-FT Final Presentation

AT697E LEON2-FT Final Presentation AT697E LEON2-FT Final Presentation ESA contract 15036/01/NL/FM Nicolas RENAUD ATMEL Nantes + 33 2 40 18 17 19 nicolas.renaud@nto.atmel.com Overview Initial objectives design phase Validation and characterisation

More information

Development an update. Aeroflex Gaisler

Development an update. Aeroflex Gaisler European SpaceWire Router Development an update Sandi Habinc Aeroflex Gaisler Demand for SpaceWire Router Both European and international customers have shown interest in SpaceWire router with greater

More information

DEVELOPMENT AND VERIFICATION OF AHB2APB BRIDGE PROTOCOL USING UVM TECHNIQUE

DEVELOPMENT AND VERIFICATION OF AHB2APB BRIDGE PROTOCOL USING UVM TECHNIQUE DEVELOPMENT AND VERIFICATION OF AHB2APB BRIDGE PROTOCOL USING UVM TECHNIQUE N.G.N.PRASAD Assistant Professor K.I.E.T College, Korangi Abstract: The AMBA AHB is for high-performance, high clock frequency

More information

ATMEL SPACEWIRE PRODUCTS FAMILY

ATMEL SPACEWIRE PRODUCTS FAMILY ATMEL SPACEWIRE PRODUCTS FAMILY Session: Components Short Paper Nicolas RENAUD, Yohann BRICARD ATMEL Nantes La Chantrerie 44306 NANTES Cedex 3 E-mail: nicolas.renaud@atmel.com, yohann.bricard@atmel.com

More information

The Use Of Virtual Platforms In MP-SoC Design. Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006

The Use Of Virtual Platforms In MP-SoC Design. Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006 The Use Of Virtual Platforms In MP-SoC Design Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006 1 MPSoC Is MP SoC design happening? Why? Consumer Electronics Complexity Cost of ASIC Increased SW Content

More information

VLSI Design of Multichannel AMBA AHB

VLSI Design of Multichannel AMBA AHB RESEARCH ARTICLE OPEN ACCESS VLSI Design of Multichannel AMBA AHB Shraddha Divekar,Archana Tiwari M-Tech, Department Of Electronics, Assistant professor, Department Of Electronics RKNEC Nagpur,RKNEC Nagpur

More information

NS115 System Emulation Based on Cadence Palladium XP

NS115 System Emulation Based on Cadence Palladium XP NS115 System Emulation Based on Cadence Palladium XP wangpeng 新岸线 NUFRONT Agenda Background and Challenges Porting ASIC to Palladium XP Software Environment Co Verification and Power Analysis Summary Background

More information

GR712RC A MULTI-PROCESSOR DEVICE WITH SPACEWIRE INTERFACES

GR712RC A MULTI-PROCESSOR DEVICE WITH SPACEWIRE INTERFACES GR712RC A MULTI-PROCESSOR DEVICE WITH SPACEWIRE INTERFACES Session: SpaceWire Components Short Paper Sandi Habinc, Jiri Gaisler Aeroflex Gaisler, Kungsgatan 12, SE-411 19 Göteborg, Sweden sandi@gaisler.com

More information

ASIC Logic. Speaker: Juin-Nan Liu. Adopted from National Chiao-Tung University IP Core Design

ASIC Logic. Speaker: Juin-Nan Liu. Adopted from National Chiao-Tung University IP Core Design ASIC Logic Speaker: Juin-Nan Liu Adopted from National Chiao-Tung University IP Core Design Goal of This Lab Prototyping Familiarize with ARM Logic Module (LM) Know how to program LM Outline Introduction

More information

CCSDS Unsegmented Code Transfer Protocol (CUCTP)

CCSDS Unsegmented Code Transfer Protocol (CUCTP) CCSDS Unsegmented Code Transfer Protocol (CUCTP) Marko Isomäki, Sandi Habinc Aeroflex Gaisler AB Kungsgatan 12, SE-411 19 Göteborg, Sweden marko@gaisler.com www.aeroflex.com/gaisler Introduction Time synchronization

More information

Multi-core microcontroller design with Cortex-M processors and CoreSight SoC

Multi-core microcontroller design with Cortex-M processors and CoreSight SoC Multi-core microcontroller design with Cortex-M processors and CoreSight SoC Joseph Yiu, ARM Ian Johnson, ARM January 2013 Abstract: While the majority of Cortex -M processor-based microcontrollers are

More information

Page 1 SPACEWIRE SEMINAR 4/5 NOVEMBER 2003 JF COLDEFY / C HONVAULT

Page 1 SPACEWIRE SEMINAR 4/5 NOVEMBER 2003 JF COLDEFY / C HONVAULT Page 1 SPACEWIRE SEMINAR 4/5 NOVEMBER 2003 JF COLDEFY / C HONVAULT INTRODUCTION The SW IP was developped in the frame of the ESA 13345/#3 contract "Building block for System on a Chip" This presentation

More information

COMPARISON BETWEEN GR740, LEON4-N2X AND NGMP

COMPARISON BETWEEN GR740, LEON4-N2X AND NGMP Doc..: Date: 2017-08-22 Page: 1 of 11 COMPARISON BETWEEN GR740, LEON4-N2X AND NGMP Doc..: Date: 2017-08-22 Page: 2 of 11 TABLE OF CONTENTS 1 INTRODUCTION... 3 1.1 Scope of the Document... 3 1.2 Reference

More information

AN OPEN-SOURCE VHDL IP LIBRARY WITH PLUG&PLAY CONFIGURATION

AN OPEN-SOURCE VHDL IP LIBRARY WITH PLUG&PLAY CONFIGURATION AN OPEN-SOURCE VHDL IP LIBRARY WITH PLUG&PLAY CONFIGURATION Jiri Gaisler Gaisler Research, Första Långgatan 19, 413 27 Göteborg, Sweden Abstract: Key words: An open-source IP library based on the AMBA-2.0

More information

ESA IP Cores Service Current status, activities, and future plans. Kostas Marinis ESTEC/TEC-EDM

ESA IP Cores Service Current status, activities, and future plans. Kostas Marinis ESTEC/TEC-EDM Current status, activities, and future plans Kostas Marinis ESTEC/TEC-EDM Kostas.Marinis@esa.int Agenda Introduction List of available IP cores Overview of ESA IP Cores service Usage statistics Current

More information

SoCWire: a SpaceWire inspired fault tolerant Network on Chip approach for reconfigurable System-on-Chip in Space applications

SoCWire: a SpaceWire inspired fault tolerant Network on Chip approach for reconfigurable System-on-Chip in Space applications SoCWire: a SpaceWire inspired fault tolerant Network on Chip approach for reconfigurable System-on-Chip in Space applications Björn Osterloh Institute of Computer and Network Engineering TU Braunschweig,

More information

Extending TASTE through integration with Space Studio

Extending TASTE through integration with Space Studio Extending TASTE through integration with Space Studio Guy Bois, Laurent Moss - Space Codesign Systems Marc Pollina, Yan Leclerc - M3 Systems www.spacecodesign.com Outline 1) Overview of the Space Studio

More information

Transaction Level Modeling with SystemC. Thorsten Grötker Engineering Manager Synopsys, Inc.

Transaction Level Modeling with SystemC. Thorsten Grötker Engineering Manager Synopsys, Inc. Transaction Level Modeling with SystemC Thorsten Grötker Engineering Manager Synopsys, Inc. Outline Abstraction Levels SystemC Communication Mechanism Transaction Level Modeling of the AMBA AHB/APB Protocol

More information

ESE Back End 2.0. D. Gajski, S. Abdi. (with contributions from H. Cho, D. Shin, A. Gerstlauer)

ESE Back End 2.0. D. Gajski, S. Abdi. (with contributions from H. Cho, D. Shin, A. Gerstlauer) ESE Back End 2.0 D. Gajski, S. Abdi (with contributions from H. Cho, D. Shin, A. Gerstlauer) Center for Embedded Computer Systems University of California, Irvine http://www.cecs.uci.edu 1 Technology advantages

More information

ECE 448 Lecture 15. Overview of Embedded SoC Systems

ECE 448 Lecture 15. Overview of Embedded SoC Systems ECE 448 Lecture 15 Overview of Embedded SoC Systems ECE 448 FPGA and ASIC Design with VHDL George Mason University Required Reading P. Chu, FPGA Prototyping by VHDL Examples Chapter 8, Overview of Embedded

More information

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS Embedded System System Set of components needed to perform a function Hardware + software +. Embedded Main function not computing Usually not autonomous

More information

Does FPGA-based prototyping really have to be this difficult?

Does FPGA-based prototyping really have to be this difficult? Does FPGA-based prototyping really have to be this difficult? Embedded Conference Finland Andrew Marshall May 2017 What is FPGA-Based Prototyping? Primary platform for pre-silicon software development

More information

ECE 111 ECE 111. Advanced Digital Design. Advanced Digital Design Winter, Sujit Dey. Sujit Dey. ECE Department UC San Diego

ECE 111 ECE 111. Advanced Digital Design. Advanced Digital Design Winter, Sujit Dey. Sujit Dey. ECE Department UC San Diego Advanced Digital Winter, 2009 ECE Department UC San Diego dey@ece.ucsd.edu http://esdat.ucsd.edu Winter 2009 Advanced Digital Objective: of a hardware-software embedded system using advanced design methodologies

More information

DEVELOPING RTEMS SMP FOR LEON3/LEON4 MULTI-PROCESSOR DEVICES. Flight Software Workshop /12/2013

DEVELOPING RTEMS SMP FOR LEON3/LEON4 MULTI-PROCESSOR DEVICES. Flight Software Workshop /12/2013 DEVELOPING RTEMS SMP FOR LEON3/LEON4 MULTI-PROCESSOR DEVICES Flight Software Workshop 2013 12/12/2013 Daniel Hellström Presentation does not contain U.S. Export controlled information (aka ITAR) 12/08/13

More information

Massively Parallel Processor Breadboarding (MPPB)

Massively Parallel Processor Breadboarding (MPPB) Massively Parallel Processor Breadboarding (MPPB) 28 August 2012 Final Presentation TRP study 21986 Gerard Rauwerda CTO, Recore Systems Gerard.Rauwerda@RecoreSystems.com Recore Systems BV P.O. Box 77,

More information

LEON3-Fault Tolerant Design Against Radiation Effects ASIC

LEON3-Fault Tolerant Design Against Radiation Effects ASIC LEON3-Fault Tolerant Design Against Radiation Effects ASIC Microelectronic Presentation Days 3 rd Edition 7 March 2007 Table of Contents Page 2 Project Overview Context Industrial Organization LEON3-FT

More information

Veloce2 the Enterprise Verification Platform. Simon Chen Emulation Business Development Director Mentor Graphics

Veloce2 the Enterprise Verification Platform. Simon Chen Emulation Business Development Director Mentor Graphics Veloce2 the Enterprise Verification Platform Simon Chen Emulation Business Development Director Mentor Graphics Agenda Emulation Use Modes Veloce Overview ARM case study Conclusion 2 Veloce Emulation Use

More information

The Veloce Emulator and its Use for Verification and System Integration of Complex Multi-node SOC Computing System

The Veloce Emulator and its Use for Verification and System Integration of Complex Multi-node SOC Computing System The Veloce Emulator and its Use for Verification and System Integration of Complex Multi-node SOC Computing System Laurent VUILLEMIN Platform Compile Software Manager Emulation Division Agenda What is

More information

Operability and Modularity concepts of future RTUs/RIUs

Operability and Modularity concepts of future RTUs/RIUs Operability and Modularity concepts of future RTUs/RIUs ADCSS2015 Day 3 Thursday 22 October 2015 What is a RTU? The Remote Terminal Unit (RTU) is an Avionics equipment that provides functions such as:

More information

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey System-on-Chip Architecture for Mobile Applications Sabyasachi Dey Email: sabyasachi.dey@gmail.com Agenda What is Mobile Application Platform Challenges Key Architecture Focus Areas Conclusion Mobile Revolution

More information

HIGH PERFORMANCE PPC BASED DPU WITH SPACEWIRE RMAP PORT

HIGH PERFORMANCE PPC BASED DPU WITH SPACEWIRE RMAP PORT High Performance PPC Based DPU With SpaceWire RMAP Port HIGH PERFORMANCE PPC BASED DPU WITH SPACEWIRE RMAP PORT Session: SpaceWire Components Short Paper Pekka Seppä, Petri Portin Patria Aviation Oy, Systems/Space,

More information

Graduate Institute of Electronics Engineering, NTU. ASIC Logic. Speaker: Lung-Hao Chang 張龍豪 Advisor: Prof. Andy Wu 吳安宇教授.

Graduate Institute of Electronics Engineering, NTU. ASIC Logic. Speaker: Lung-Hao Chang 張龍豪 Advisor: Prof. Andy Wu 吳安宇教授. ASIC Logic Speaker: Lung-Hao Chang 張龍豪 Advisor: Prof. Andy Wu 吳安宇教授 May 21, 2003 PP. 2 Prototyping Goal of This Lab Familiarize with ARM Logic Module (LM) Know how to program LM PP. 3 Introduction ARM

More information

SoC Overview. Multicore Applications Team

SoC Overview. Multicore Applications Team KeyStone C66x ulticore SoC Overview ulticore Applications Team KeyStone Overview KeyStone Architecture & Internal Communications and Transport External Interfaces and s Debug iscellaneous Application and

More information

Glossary. AHDL A Hardware Description Language, such as Verilog-A, SpectreHDL, or VHDL-A, used to describe analog designs.

Glossary. AHDL A Hardware Description Language, such as Verilog-A, SpectreHDL, or VHDL-A, used to describe analog designs. Glossary ADC, A/D Analog-to-Digital Converter. AHDL A Hardware Description Language, such as Verilog-A, SpectreHDL, or VHDL-A, used to describe analog designs. AMBA Advanced Microcontroller Bus Architecture.

More information

ARM Processors for Embedded Applications

ARM Processors for Embedded Applications ARM Processors for Embedded Applications Roadmap for ARM Processors ARM Architecture Basics ARM Families AMBA Architecture 1 Current ARM Core Families ARM7: Hard cores and Soft cores Cache with MPU or

More information

CCSDS Time Distribution over SpaceWire

CCSDS Time Distribution over SpaceWire CCSDS Time Distribution over SpaceWire Sandi Habinc, Marko Isomäki, Daniel Hellström Aeroflex Gaisler AB Kungsgatan 12, SE-411 19 Göteborg, Sweden sandi@gaisler.com www.aeroflex.com/gaisler Introduction

More information

Codesign Framework. Parts of this lecture are borrowed from lectures of Johan Lilius of TUCS and ASV/LL of UC Berkeley available in their web.

Codesign Framework. Parts of this lecture are borrowed from lectures of Johan Lilius of TUCS and ASV/LL of UC Berkeley available in their web. Codesign Framework Parts of this lecture are borrowed from lectures of Johan Lilius of TUCS and ASV/LL of UC Berkeley available in their web. Embedded Processor Types General Purpose Expensive, requires

More information

Embedded Fingerprint Verification and Matching System

Embedded Fingerprint Verification and Matching System Signal Theory and Communications Group Department of Electronics University of Mondragon Fifth Workshop on Intelligent Solutions in Embedded Systems WISES 07, June 21-22, Madrid A Low-Cost FPGA-based Embedded

More information

Design of Next Generation CPU Card for State of the Art Satellite Control Application

Design of Next Generation CPU Card for State of the Art Satellite Control Application Design of Next Generation CPU Card for State of the Art Satellite Control Application Deepa. R [M.Tech], Microelectronics & Control Systems Dayananda Sagar College of Engineering Bangalore, 560078 Rajashekar.

More information

Silicon Penguins: Using and verifying an open source processor in a 100% first time right commercial ASIC

Silicon Penguins: Using and verifying an open source processor in a 100% first time right commercial ASIC Silicon Penguins: Using and verifying an open source processor in a 100% first time right commercial ASIC Declan Staunton System IC Business Unit Silicon & Software Systems Dublin, Ireland declan.staunton@s3group.com

More information

Bibliography. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, Practical Software Reuse, Donald J. Reifer, Wiley, 1997.

Bibliography. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, Practical Software Reuse, Donald J. Reifer, Wiley, 1997. Bibliography Books on software reuse: 1. 2. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, 1997. Practical Software Reuse, Donald J. Reifer, Wiley, 1997. Formal specification and verification:

More information

100M Gate Designs in FPGAs

100M Gate Designs in FPGAs 100M Gate Designs in FPGAs Fact or Fiction? NMI FPGA Network 11 th October 2016 Jonathan Meadowcroft, Cadence Design Systems Why in the world, would I do that? ASIC replacement? Probably not! Cost prohibitive

More information

Overview of SOC Architecture design

Overview of SOC Architecture design Computer Architectures Overview of SOC Architecture design Tien-Fu Chen National Chung Cheng Univ. SOC - 0 SOC design Issues SOC architecture Reconfigurable System-level Programmable processors Low-level

More information

HVSoCs: A Framework for Rapid Prototyping of 3-D Hybrid Virtual System-on-Chips

HVSoCs: A Framework for Rapid Prototyping of 3-D Hybrid Virtual System-on-Chips on introducing a new design paradigm HVSoCs: A Framework for Rapid Prototyping of 3-D Hybrid Virtual System-on-Chips D. Diamantopoulos, K. Siozios, E. Sotiriou-Xanthopoulos, G. Economakos and D. Soudris

More information

ESL Design and HW/SW Co-verification of High-end Software Defined Radio Platforms

ESL Design and HW/SW Co-verification of High-end Software Defined Radio Platforms EL Design and HW/W Co-verification of High-end oftware Defined Radio Platforms Ng, A. C.H., Weijers, J.W., Glassee, M., chuster, T., Bougard, B., Van der Perre, L. IMEC, Belgium {nganthon, weijers, glasseem,

More information

Hardware/Software Co-design

Hardware/Software Co-design Hardware/Software Co-design Zebo Peng, Department of Computer and Information Science (IDA) Linköping University Course page: http://www.ida.liu.se/~petel/codesign/ 1 of 52 Lecture 1/2: Outline : an Introduction

More information

Test and Verification Solutions. ARM Based SOC Design and Verification

Test and Verification Solutions. ARM Based SOC Design and Verification Test and Verification Solutions ARM Based SOC Design and Verification 7 July 2008 1 7 July 2008 14 March 2 Agenda System Verification Challenges ARM SoC DV Methodology ARM SoC Test bench Construction Conclusion

More information

SpaceWire Technologies deliver multi-gigabit data rates for on-board Spacecraft. SpaceTech Expo Gregor Cranston Business Development Manager

SpaceWire Technologies deliver multi-gigabit data rates for on-board Spacecraft. SpaceTech Expo Gregor Cranston Business Development Manager SpaceWire Technologies deliver multi-gigabit data rates for on-board Spacecraft SpaceTech Expo 2013 Gregor Cranston Business Development Manager 1 Introducing SpaceFibre A very high-speed serial data-link

More information

System Design and Methodology/ Embedded Systems Design (Modeling and Design of Embedded Systems)

System Design and Methodology/ Embedded Systems Design (Modeling and Design of Embedded Systems) Design&Methodologies Fö 1&2-1 Design&Methodologies Fö 1&2-2 Course Information Design and Methodology/ Embedded s Design (Modeling and Design of Embedded s) TDTS07/TDDI08 Web page: http://www.ida.liu.se/~tdts07

More information

System-On-Chip Design with the Leon CPU The SOCKS Hardware/Software Environment

System-On-Chip Design with the Leon CPU The SOCKS Hardware/Software Environment System-On-Chip Design with the Leon CPU The SOCKS Hardware/Software Environment Introduction Digital systems typically contain both, software programmable components, as well as application specific logic.

More information

Evolution of CAD Tools & Verilog HDL Definition

Evolution of CAD Tools & Verilog HDL Definition Evolution of CAD Tools & Verilog HDL Definition K.Sivasankaran Assistant Professor (Senior) VLSI Division School of Electronics Engineering VIT University Outline Evolution of CAD Different CAD Tools for

More information

ISSN Vol.03, Issue.08, October-2015, Pages:

ISSN Vol.03, Issue.08, October-2015, Pages: ISSN 2322-0929 Vol.03, Issue.08, October-2015, Pages:1284-1288 www.ijvdcs.org An Overview of Advance Microcontroller Bus Architecture Relate on AHB Bridge K. VAMSI KRISHNA 1, K.AMARENDRA PRASAD 2 1 Research

More information

Xilinx Vivado/SDK Tutorial

Xilinx Vivado/SDK Tutorial Xilinx Vivado/SDK Tutorial (Laboratory Session 1, EDAN15) Flavius.Gruian@cs.lth.se March 21, 2017 This tutorial shows you how to create and run a simple MicroBlaze-based system on a Digilent Nexys-4 prototyping

More information

System Impact of Distributed Multicore Systems December 5th 2012

System Impact of Distributed Multicore Systems December 5th 2012 System Impact of Distributed Multicore Systems December 5th 2012 Software Systems Division & Data Systems Division Final Presentation Days Mathieu Patte (Astrium Satellites) Alfons Crespo (UPV) Outline

More information

Extending Fixed Subsystems at the TLM Level: Experiences from the FPGA World

Extending Fixed Subsystems at the TLM Level: Experiences from the FPGA World I N V E N T I V E Extending Fixed Subsystems at the TLM Level: Experiences from the FPGA World Frank Schirrmeister, Steve Brown, Larry Melling (Cadence) Dave Beal (Xilinx) Agenda Virtual Platforms Xilinx

More information

Copyright 2016 Xilinx

Copyright 2016 Xilinx Zynq Architecture Zynq Vivado 2015.4 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: Identify the basic building

More information

Platform-based SoC Design

Platform-based SoC Design Platform-based SoC Design Res Saleh University of British Columbia Dept. of ECE res@ece.ubc.ca 1 Evolution from ASICs to Platform-Based Design For SoC s, Platform-Based Design is the next logical evolution

More information

Transaction Level Modeling with SystemC. Thorsten Grötker Engineering Manager Synopsys, Inc.

Transaction Level Modeling with SystemC. Thorsten Grötker Engineering Manager Synopsys, Inc. Transaction Level Modeling with System Thorsten Grötker Engineering Manager Synopsys, Inc. Outline Abstraction Levels System ommunication Mechanism Application 1: Generic Transaction Level ommunication

More information

Copyright 2014 Xilinx

Copyright 2014 Xilinx IP Integrator and Embedded System Design Flow Zynq Vivado 2014.2 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able

More information

Digital Control for Space Power Management Devices

Digital Control for Space Power Management Devices Template reference : 100182079N-EN Digital Control for Space Power Management Devices Work conducted under ESA Contract nr.21826/08/nl/lvh DIGITAL POWER CONTROL Management of power devices via digital

More information

Interfacing a High Speed Crypto Accelerator to an Embedded CPU

Interfacing a High Speed Crypto Accelerator to an Embedded CPU Interfacing a High Speed Crypto Accelerator to an Embedded CPU Alireza Hodjat ahodjat @ee.ucla.edu Electrical Engineering Department University of California, Los Angeles Ingrid Verbauwhede ingrid @ee.ucla.edu

More information

Final Presentation. Network on Chip (NoC) for Many-Core System on Chip in Space Applications. December 13, 2017

Final Presentation. Network on Chip (NoC) for Many-Core System on Chip in Space Applications. December 13, 2017 Final Presentation Network on Chip (NoC) for Many-Core System on Chip in Space Applications December 13, 2017 Dr. ir. Gerard Rauwerda Gerard.Rauwerda@recoresystems.com NoC round table Network-on-Chip (NoC)

More information

The CoreConnect Bus Architecture

The CoreConnect Bus Architecture The CoreConnect Bus Architecture Recent advances in silicon densities now allow for the integration of numerous functions onto a single silicon chip. With this increased density, peripherals formerly attached

More information

Employing Multi-FPGA Debug Techniques

Employing Multi-FPGA Debug Techniques Employing Multi-FPGA Debug Techniques White Paper Traditional FPGA Debugging Methods Debugging in FPGAs has been difficult since day one. Unlike simulation where designers can see any signal at any time,

More information

Design methodology for multi processor systems design on regular platforms

Design methodology for multi processor systems design on regular platforms Design methodology for multi processor systems design on regular platforms Ph.D in Electronics, Computer Science and Telecommunications Ph.D Student: Davide Rossi Ph.D Tutor: Prof. Roberto Guerrieri Outline

More information

FPGA Adaptive Software Debug and Performance Analysis

FPGA Adaptive Software Debug and Performance Analysis white paper Intel Adaptive Software Debug and Performance Analysis Authors Javier Orensanz Director of Product Management, System Design Division ARM Stefano Zammattio Product Manager Intel Corporation

More information

Mapping Multi-Million Gate SoCs on FPGAs: Industrial Methodology and Experience

Mapping Multi-Million Gate SoCs on FPGAs: Industrial Methodology and Experience Mapping Multi-Million Gate SoCs on FPGAs: Industrial Methodology and Experience H. Krupnova CMG/FMVG, ST Microelectronics Grenoble, France Helena.Krupnova@st.com Abstract Today, having a fast hardware

More information

Solving the System-Level Design Riddle. October 2014

Solving the System-Level Design Riddle. October 2014 Solving the System-Level Design Riddle What is the System Design Riddle? With no errors? Then marketing says they need it How do complete my design ontime? Onbudget? Sooner With higher performance n less

More information

Liquid Architecture Λ

Liquid Architecture Λ Liquid Architecture Λ Phillip Jones, Shobana Padmanabhan, Daniel Rymarz, John Maschmeyer David V. Schuehler, John W. Lockwood, and Ron K. Cytron Department of Computer Science and Engineering Washington

More information

A Flexible SystemC Simulator for Multiprocessor Systemson-Chip

A Flexible SystemC Simulator for Multiprocessor Systemson-Chip A Flexible SystemC Simulator for Multiprocessor Systemson-Chip Luca Benini Davide Bertozzi Francesco Menichelli Mauro Olivieri DEIS - Università di Bologna DEIS - Università di Bologna DIE - Università

More information

Rapidly Developing Embedded Systems Using Configurable Processors

Rapidly Developing Embedded Systems Using Configurable Processors Class 413 Rapidly Developing Embedded Systems Using Configurable Processors Steven Knapp (sknapp@triscend.com) (Booth 160) Triscend Corporation www.triscend.com Copyright 1998-99, Triscend Corporation.

More information

Software Defined Modem A commercial platform for wireless handsets

Software Defined Modem A commercial platform for wireless handsets Software Defined Modem A commercial platform for wireless handsets Charles F Sturman VP Marketing June 22 nd ~ 24 th Brussels charles.stuman@cognovo.com www.cognovo.com Agenda SDM Separating hardware from

More information

All Frames Recept. VC Pkt Extraction VC Reception VC Demux. MC Demux. Data Link Protocol Sub-Layer VC0 VC1. VC2 AHB DMA 2k FIFO

All Frames Recept. VC Pkt Extraction VC Reception VC Demux. MC Demux. Data Link Protocol Sub-Layer VC0 VC1. VC2 AHB DMA 2k FIFO Features CCSDS/ECSS compatible Telemetry Encoder and Telecommand Decoder Telemetry encoder implements in hardware part of protocol sub-layer, synchronization & channel coding sub-layer, and part of physical

More information

S2C K7 Prodigy Logic Module Series

S2C K7 Prodigy Logic Module Series S2C K7 Prodigy Logic Module Series Low-Cost Fifth Generation Rapid FPGA-based Prototyping Hardware The S2C K7 Prodigy Logic Module is equipped with one Xilinx Kintex-7 XC7K410T or XC7K325T FPGA device

More information

SPEAr: an HW/SW reconfigurable multi processor architecture

SPEAr: an HW/SW reconfigurable multi processor architecture Welcome to the «SPEAr Age» Structured Processor Enhanced Architecture SPEAr: an HW/SW reconfigurable multi processor architecture COMPUTER PERIPHERAL GROUP Outline Economics of Moore s law and market view

More information