EE194-EE290C. 28 nm SoC for IoT

Size: px
Start display at page:

Download "EE194-EE290C. 28 nm SoC for IoT"

Transcription

1 EE194-EE290C 28 nm SoC for IoT CMOS VLSI Design by Neil H. Weste and David Money Harris Synopsys IC Compiler ImplementaJon User Guide Synopsys Timing Constraints and OpJmizaJon User Guide

2 Tips This is by no means comprehensive. Key is to get to the good enough ASAP. Must develop intuijve understanding what the tool is trying to accomplish.

3 DC Converts a design descripjon wriwen in a HDL, into an opjmized gate-level netlist mapped to a specific logic library. When the synthesized design meets funcjonality, Jming, power, and other design goals, you can pass the design to IC Compiler for physical implementajon.

4 Liberty Timing File(LIB) The.lib file is an ASCII representajon of the Jming and power parameters associated with any cell in a parjcular semiconductor technology. The Jming and power parameters are obtained by simulajng the cells under a variety of condijons and the data is represented in the.lib format. The.lib file contains Jming models and data to calculate: I/O delay paths Timing check values Interconnect delays I/O path delays and Jming check values are computed on a per-instance basis. Path delays in a circuit depend upon the electrical behavior of interconnects between cells. This parasijc informajon can be based on the layout of the design, but must be esjmated when no layout informajon is available. Also it is not possible to predict the process, voltage and temperature variajons and derajng factors can be included to compensate for these variajons.

5 Liberty Timing File(LIB) Cell-based delay calculajon is modeled by characterizing cell delay and output transijon Jme (output slew) as a funcjon of input transijon Jme (input slew) and the capacijve load on the output of the cell. Each cell has a specific number of input-to-output paths A B C Z Path delays can be described for each input signal transijon that affects an output signal The path delay can also depend on signals at other inputs (state dependencies)

6 Liberty Timing File(LIB) Delay,Power, Timing Checks Input Slew Output Capacitance Lookup-table (non-linear delay) model.

7 Liberty Timing File(LIB) Delay,Power, Timing Checks Input Slew Output Capacitance Lookup-table (non-linear delay) model.

8 Parameter VariaJon q Transistors have uncertainty in parameters Process: L eff, V t, t ox of nmos and pmos Vary around typical (T) values q Fast (F) L eff : short V t : low t ox : thin q Slow (S): opposite q Not all parameters are independent for nmos and pmos slow fast pmos SF SS TT FF FS slow nmos fast

9 Environmental VariaJon q V DD and T also vary in time and space q Fast: V DD : high T: low Corner Voltage Temperature F C T C S C

10 Process Corners q Process corners describe worst case variations - If a design works in all corners, it will probably work for any variation. q Describe corner with four letters (T, F, S) - nmos speed - pmos speed - Voltage - Temperature

11 Important Corners q Some critical simulation corners include Purpose nmos pmos V DD Temp Cycle time S S S S Power F F F F Subthreshold leakage F F F S

12 Design Objects

13 Top Level ParJJoning

14 Design Environment Before a design can be opjmized, you must define the environment in which the design is expected to operate. You define the environment by specifying operajng condijons, system interface characterisjcs, and wire load models. OperaJng condijons include temperature, voltage, and process variajons. System interface characterisjcs include input drivers, input and output loads, and fanout loads. The environment model directly affects design synthesis results.

15 Drive CharacterisJcs To determine the delay and transijon Jme characterisjcs of incoming signals, Design Compiler needs informajon about the external drive strength and the loading at each input port. Drive strength is the reciprocal of the output drive resistance, and the transijon delay at an input port is the product of the drive resistance and the capacitance load of the input port. Design Compiler uses drive strength informajon to buffer nets appropriately in the case of a weak driver. By default, Design Compiler assumes zero drive resistance on input ports, meaning infinite drive strength.

16 Drive CharacterisJcs By default, Design Compiler assumes zero capacijve load on input and output ports.

17 Wire Load Models Wire load models esjmate the effect of wire length and fanout on the resistance, capacitance, and area of nets. Design Compiler uses these physical values to calculate wire delays and circuit speeds. Semiconductor vendors develop wire load models, based on stajsjcal informajon specific to the vendors process. The models include coefficients for area, capacitance, and resistance per unit length, and a fanout-to-length table for esjmajng net lengths (the number of fanouts determines a nominal length).

18 Design Rule Constraints

19 Design Rule Constraints

20 MIPS Layout

21 ICC The IC Compiler tool is a single, convergent netlist-to-gdsii design tool for chip designers developing very deep submicron designs. It takes as input a gate-level netlist, a detailed floorplan, Jming constraints, physical and Jming libraries, and foundry-process data, and it generates as output a GDSIIformat file of the layout.

22 ICC Design Flow Create floorplan and a power plan. Legalized placement of leaf cells and resolves Jming closure. Improves clock skew and clock inserjon delay. Performs global roujng. Filler cells, Antenna diodes, density fills etc. Centered around three core commands.

23 Design PreparaJon The IC Compiler tool uses a Milkyway design library to store your design and its associated library informajon. The IC Compiler tool requires both logic libraries and physical libraries.

24 Logic Libraries The IC Compiler tool uses logic libraries to provide Jming and funcjonality informajon for all standard cells. In addijon, logic libraries can provide Jming informajon for hard macros, such as RAMs. The tool supports logic libraries that use nonlinear delay models (NLDMs) and Composite Current Source (CCS) models and automajcally selects the Jming models to use, based on the contents of the logic libraries. NLDMs do not contain enough informajon to characterize the delay of a gate driving a complex RC interconnect network with the accuracy desired by some users. They also lack the accuracy to fully characterize noise events.

25 Physical Libraries The IC Compiler tool uses Milkyway reference libraries and technology files to obtain physical library informajon. The Milkyway reference libraries contain physical informajon about the standard cells and macro cells in your logic library. The Milkyway database can contain different representajons of the same cell, called views of that cell. These are the main types of views used in the IC Compiler tool: CEL view: The full layout view of a physical structure such as a via, standard cell, macro, or whole chip; contains placement, roujng, pin, and netlist informajon for the cell. FRAM view: An abstract representajon of a cell used for placement and roujng; contains only the metal blockages, allowed via areas, and pins of the cell. FILL view: A view of metal fill, which is used for chip finishing and has no logic funcjon, created by the signoff_metal_fill command in the IC Compiler tool. CONN view: A representajon of the power and ground networks of a cell, created by the PrimeRail or IC Compiler tool and used by PrimeRail for IR drop and electromigrajon analysis. ERR view: A graphical view of physical design rule violajons found by verificajon commands in the IC Compiler tool such as verify_zrt_route or signoff_drc.

26 Verify Libraries To achieve good results, you must have high-quality libraries. Before you process your design, you should use the check_library command to ensure that the logic libraries and physical libraries are correct and consistent. check_library verifies the consistency of cell names, pin names, area values, bus naming convenjons, operajng condijon scaling, antenna rules, and so on. It generates a detailed report on any errors or inconsistencies that are found.

27 Design PreparaJon Reading the design AnnotaJng the Floorplan informajon CreaJng Logical Power and Ground connecjon Aler you read in the design, you must ensure that there are logical connecjons between the power and ground nets and the power, ground, and Je-off pins on the cells in your design. Linking Design When the IC Compiler tool performs Jming analysis, each cell instance in the design must be linked to a cell in the link libraries, which provides its Jming informajon.

28 Placement & OpJmizaJon There are many configurajon senngs that affect the behavior of placement and opjmizajon. Placement Keepout Margin: A keepout margin is a region around the boundary of fixed macros in your design in which no other cells are placed.

29 Placement & OpJmizaJon Global Keepout Margin

30 Placement & OpJmizaJon Area based placement blockages The IC Compiler tool supports the following types of area-based placement blockages: Hard A hard blockage prevents the placement of standard cells and hard macros within the specified area during coarse placement, opjmizajon, and legalizajon. Hard macro A hard macro blockage prevents the placement of hard macros within the specified area during coarse placement, opjmizajon, and legalizajon. Sol A sol blockage prevents the placement of standard cells and hard macros within the specified area during coarse placement, but allows opjmizajon and legalizajon to place cells within the specified area. ParJal A parjal blockage limits the cell density in the specified area during coarse placement, but has no effect during opjmizajon and legalizajon. Pin A pin blockage prevents the global router from roujng in the specified area, and the pin placer from assigning pins to the area.

31 Placement & OpJmizaJon High fanout net synthesis During placement and opjmizajon, the IC Compiler tool does not buffer clock nets as defined by the create_clock command, but it does, by default, buffer other high-fanout nets, such as resets or scan enables, using a built-in high-fanout synthesis engine.

32 Placement Area UJlizaJon U>liza>on Placement area ujlizajon, or simply ujlizajon, means the percentage of area available for placement that is already occupied by placed cells. For example, a ujlizajon of 80 percent means that 80 percent of the available area is occupied by cells and 20 percent is empty and can sjll be used for addijonal cell placement, for movement of cells for legalizajon and opjmizajon, or as an allowance to prevent excessive roujng congesjon.

SYNTHESIS FOR ADVANCED NODES

SYNTHESIS FOR ADVANCED NODES SYNTHESIS FOR ADVANCED NODES Abhijeet Chakraborty Janet Olson SYNOPSYS, INC ISPD 2012 Synopsys 2012 1 ISPD 2012 Outline Logic Synthesis Evolution Technology and Market Trends The Interconnect Challenge

More information

Cluster-based approach eases clock tree synthesis

Cluster-based approach eases clock tree synthesis Page 1 of 5 EE Times: Design News Cluster-based approach eases clock tree synthesis Udhaya Kumar (11/14/2005 9:00 AM EST) URL: http://www.eetimes.com/showarticle.jhtml?articleid=173601961 Clock network

More information

EE582 Physical Design Automation of VLSI Circuits and Systems

EE582 Physical Design Automation of VLSI Circuits and Systems EE582 Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University Preliminaries Table of Contents Semiconductor manufacturing Problems to solve Algorithm complexity

More information

Power, Performance and Area Implementation Analysis.

Power, Performance and Area Implementation Analysis. ARM Cortex -R Series: Power, Performance and Area Implementation Analysis. Authors: Neil Werdmuller and Jatin Mistry, September 2014. Summary: Power, Performance and Area (PPA) implementation analysis

More information

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation Datasheet Create a Better Starting Point for Faster Physical Implementation Overview Continuing the trend of delivering innovative synthesis technology, Design Compiler Graphical streamlines the flow for

More information

DATASHEET ENCOUNTER LIBRARY CHARACTERIZER ENCOUNTER LIBRARY CHARACTERIZER

DATASHEET ENCOUNTER LIBRARY CHARACTERIZER ENCOUNTER LIBRARY CHARACTERIZER DATASHEET ENCOUNTER LIBRARY CHARACTERIZER Power and process variation concerns are growing for digital IC designers, who need advanced modeling formats to support their cutting-edge low-power digital design

More information

Comprehensive Place-and-Route Platform Olympus-SoC

Comprehensive Place-and-Route Platform Olympus-SoC Comprehensive Place-and-Route Platform Olympus-SoC Digital IC Design D A T A S H E E T BENEFITS: Olympus-SoC is a comprehensive netlist-to-gdsii physical design implementation platform. Solving Advanced

More information

Outline. SoC Encounter Flow. Typical Backend Design Flow. Digital IC-Project and Verification. Place and Route. Backend ASIC Design flow

Outline. SoC Encounter Flow. Typical Backend Design Flow. Digital IC-Project and Verification. Place and Route. Backend ASIC Design flow Outline Digital IC-Project and Verification Deepak Dasalukunte Backend ASIC Design flow General steps Input files Floorplanning Placement Clock-synthesis Routing Typical Backend Design Flow SoC Encounter

More information

FPGA Power Management and Modeling Techniques

FPGA Power Management and Modeling Techniques FPGA Power Management and Modeling Techniques WP-01044-2.0 White Paper This white paper discusses the major challenges associated with accurately predicting power consumption in FPGAs, namely, obtaining

More information

Spiral 2-8. Cell Layout

Spiral 2-8. Cell Layout 2-8.1 Spiral 2-8 Cell Layout 2-8.2 Learning Outcomes I understand how a digital circuit is composed of layers of materials forming transistors and wires I understand how each layer is expressed as geometric

More information

Design and Analysis of Ultra Low Power Processors Using Sub/Near-Threshold 3D Stacked ICs

Design and Analysis of Ultra Low Power Processors Using Sub/Near-Threshold 3D Stacked ICs Design and Analysis of Ultra Low Power Processors Using Sub/Near-Threshold 3D Stacked ICs Sandeep Kumar Samal, Yarui Peng, Yang Zhang, and Sung Kyu Lim School of ECE, Georgia Institute of Technology, Atlanta,

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) Objective Part A: To become acquainted with Spectre (or HSpice) by simulating an inverter,

More information

An overview of standard cell based digital VLSI design

An overview of standard cell based digital VLSI design An overview of standard cell based digital VLSI design Implementation of the first generation AsAP processor Zhiyi Yu and Tinoosh Mohsenin VCL Laboratory UC Davis Outline Overview of standard cellbased

More information

Logic Synthesis. Logic Synthesis. Gate-Level Optimization. Logic Synthesis Flow. Logic Synthesis. = Translation+ Optimization+ Mapping

Logic Synthesis. Logic Synthesis. Gate-Level Optimization. Logic Synthesis Flow. Logic Synthesis. = Translation+ Optimization+ Mapping Logic Synthesis Logic Synthesis = Translation+ Optimization+ Mapping Logic Synthesis 2 Gate-Level Optimization Logic Synthesis Flow 3 4 Design Compiler Procedure Logic Synthesis Input/Output 5 6 Design

More information

Topics. ! PLAs.! Memories: ! Datapaths.! Floor Planning ! ROM;! SRAM;! DRAM. Modern VLSI Design 2e: Chapter 6. Copyright 1994, 1998 Prentice Hall

Topics. ! PLAs.! Memories: ! Datapaths.! Floor Planning ! ROM;! SRAM;! DRAM. Modern VLSI Design 2e: Chapter 6. Copyright 1994, 1998 Prentice Hall Topics! PLAs.! Memories:! ROM;! SRAM;! DRAM.! Datapaths.! Floor Planning Programmable logic array (PLA)! Used to implement specialized logic functions.! A PLA decodes only some addresses (input values);

More information

EE 434 Lecture 30. Logic Design

EE 434 Lecture 30. Logic Design EE 434 Lecture 30 Logic Design Review from last time: Hierarchical nalog Design Domains: Top Behavioral: Structural: Physical Bottom Up Design Top Down Design Bottom Review from last time: Hierarchical

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 8(2) I DDQ Current Testing (Chapter 13) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Learning aims Describe the

More information

Digital Fundamentals. Integrated Circuit Technologies

Digital Fundamentals. Integrated Circuit Technologies Digital Fundamentals Integrated Circuit Technologies 1 Objectives Determine the noise margin of a device from data sheet parameters Calculate the power dissipation of a device Explain how propagation delay

More information

Graphics: Alexandra Nolte, Gesine Marwedel, Universität Dortmund. RTL Synthesis

Graphics: Alexandra Nolte, Gesine Marwedel, Universität Dortmund. RTL Synthesis Graphics: Alexandra Nolte, Gesine Marwedel, 2003 Universität Dortmund RTL Synthesis Purpose of HDLs Purpose of Hardware Description Languages: Capture design in Register Transfer Language form i.e. All

More information

TEXAS INSTRUMENTS ANALOG UNIVERSITY PROGRAM DESIGN CONTEST MIXED SIGNAL TEST INTERFACE CHRISTOPHER EDMONDS, DANIEL KEESE, RICHARD PRZYBYLA SCHOOL OF

TEXAS INSTRUMENTS ANALOG UNIVERSITY PROGRAM DESIGN CONTEST MIXED SIGNAL TEST INTERFACE CHRISTOPHER EDMONDS, DANIEL KEESE, RICHARD PRZYBYLA SCHOOL OF TEXASINSTRUMENTSANALOGUNIVERSITYPROGRAMDESIGNCONTEST MIXED SIGNALTESTINTERFACE CHRISTOPHEREDMONDS,DANIELKEESE,RICHARDPRZYBYLA SCHOOLOFELECTRICALENGINEERINGANDCOMPUTERSCIENCE OREGONSTATEUNIVERSITY I. PROJECT

More information

FABRICATION TECHNOLOGIES

FABRICATION TECHNOLOGIES FABRICATION TECHNOLOGIES DSP Processor Design Approaches Full custom Standard cell** higher performance lower energy (power) lower per-part cost Gate array* FPGA* Programmable DSP Programmable general

More information

Standard Cell Library Design and Characterization using 45nm technology

Standard Cell Library Design and Characterization using 45nm technology IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 1, Ver. I (Jan. 2014), PP 29-33 e-issn: 2319 4200, p-issn No. : 2319 4197 Standard Cell Library Design and Characterization using

More information

Digital IC- Project 1. Place and Route. Oskar Andersson. Oskar Andersson, EIT, LTH, Digital IC project and Verifica=on

Digital IC- Project 1. Place and Route. Oskar Andersson. Oskar Andersson, EIT, LTH, Digital IC project and Verifica=on Digital IC- Project 1 Oskar Andersson Outline Backend ASIC Design flow (Physical Design) General steps Input files Floorplanning Placement ClockTree- synthesis Rou=ng Typical Backend Design Flow Synthesis

More information

UNIT IV CMOS TESTING

UNIT IV CMOS TESTING UNIT IV CMOS TESTING 1. Mention the levels at which testing of a chip can be done? At the wafer level At the packaged-chip level At the board level At the system level In the field 2. What is meant by

More information

King Fahd University of Petroleum and Minerals. Computer Engineering Department. COE 561 Digital Systems Design and Synthesis (Course Activity)

King Fahd University of Petroleum and Minerals. Computer Engineering Department. COE 561 Digital Systems Design and Synthesis (Course Activity) King Fahd University of Petroleum and Minerals Computer Engineering Department COE 561 Digital Systems Design and Synthesis (Course Activity) Synthesis using Synopsys Design Compiler Tutorial The Synthesis

More information

On GPU Bus Power Reduction with 3D IC Technologies

On GPU Bus Power Reduction with 3D IC Technologies On GPU Bus Power Reduction with 3D Technologies Young-Joon Lee and Sung Kyu Lim School of ECE, Georgia Institute of Technology, Atlanta, Georgia, USA yjlee@gatech.edu, limsk@ece.gatech.edu Abstract The

More information

ASIC Physical Design Top-Level Chip Layout

ASIC Physical Design Top-Level Chip Layout ASIC Physical Design Top-Level Chip Layout References: M. Smith, Application Specific Integrated Circuits, Chap. 16 Cadence Virtuoso User Manual Top-level IC design process Typically done before individual

More information

ECE 459/559 Secure & Trustworthy Computer Hardware Design

ECE 459/559 Secure & Trustworthy Computer Hardware Design ECE 459/559 Secure & Trustworthy Computer Hardware Design VLSI Design Basics Garrett S. Rose Spring 2016 Recap Brief overview of VHDL Behavioral VHDL Structural VHDL Simple examples with VHDL Some VHDL

More information

Bits and Pieces of CS250 s Toolflow

Bits and Pieces of CS250 s Toolflow Bits and Pieces of CS250 s Toolflow CS250 Tutorial 2 (Version 092509a) September 25, 2009 Yunsup Lee In this tutorial you will learn what each VLSI tools used in class are meant to do, how they flow, file

More information

E 4.20 Introduction to Digital Integrated Circuit Design

E 4.20 Introduction to Digital Integrated Circuit Design E 4.20 Introduction to Digital Integrated Circuit Design Peter Cheung Department of Electrical & Electronic Engineering Imperial College London URL: www.ee.ic.ac.uk/pcheung/ E-mail: p.cheung@imperial.ac.uk

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation Course Goals Lab Understand key components in VLSI designs Become familiar with design tools (Cadence) Understand design flows Understand behavioral, structural, and physical specifications Be able to

More information

EE 434 ASIC & Digital Systems

EE 434 ASIC & Digital Systems EE 434 ASIC & Digital Systems Dae Hyun Kim EECS Washington State University Spring 2018 Course Website http://eecs.wsu.edu/~ee434 Themes Study how to design, analyze, and test a complex applicationspecific

More information

Eliminating Routing Congestion Issues with Logic Synthesis

Eliminating Routing Congestion Issues with Logic Synthesis Eliminating Routing Congestion Issues with Logic Synthesis By Mike Clarke, Diego Hammerschlag, Matt Rardon, and Ankush Sood Routing congestion, which results when too many routes need to go through an

More information

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University EE 466/586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 18 Implementation Methods The Design Productivity Challenge Logic Transistors per Chip (K) 10,000,000.10m

More information

Overview. Design flow. Principles of logic synthesis. Logic Synthesis with the common tools. Conclusions

Overview. Design flow. Principles of logic synthesis. Logic Synthesis with the common tools. Conclusions Logic Synthesis Overview Design flow Principles of logic synthesis Logic Synthesis with the common tools Conclusions 2 System Design Flow Electronic System Level (ESL) flow System C TLM, Verification,

More information

ECE 4514 Digital Design II. Spring Lecture 20: Timing Analysis and Timed Simulation

ECE 4514 Digital Design II. Spring Lecture 20: Timing Analysis and Timed Simulation ECE 4514 Digital Design II Lecture 20: Timing Analysis and Timed Simulation A Tools/Methods Lecture Topics Static and Dynamic Timing Analysis Static Timing Analysis Delay Model Path Delay False Paths Timing

More information

Design Methodologies

Design Methodologies Design Methodologies 1981 1983 1985 1987 1989 1991 1993 1995 1997 1999 2001 2003 2005 2007 2009 Complexity Productivity (K) Trans./Staff - Mo. Productivity Trends Logic Transistor per Chip (M) 10,000 0.1

More information

Columbia Univerity Department of Electrical Engineering Fall, 2004

Columbia Univerity Department of Electrical Engineering Fall, 2004 Columbia Univerity Department of Electrical Engineering Fall, 2004 Course: EE E4321. VLSI Circuits. Instructor: Ken Shepard E-mail: shepard@ee.columbia.edu Office: 1019 CEPSR Office hours: MW 4:00-5:00

More information

PrimeTime: Introduction to Static Timing Analysis Workshop

PrimeTime: Introduction to Static Timing Analysis Workshop i-1 PrimeTime: Introduction to Static Timing Analysis Workshop Synopsys Customer Education Services 2002 Synopsys, Inc. All Rights Reserved PrimeTime: Introduction to Static 34000-000-S16 Timing Analysis

More information

An Overview of Standard Cell Based Digital VLSI Design

An Overview of Standard Cell Based Digital VLSI Design An Overview of Standard Cell Based Digital VLSI Design With examples taken from the implementation of the 36-core AsAP1 chip and the 1000-core KiloCore chip Zhiyi Yu, Tinoosh Mohsenin, Aaron Stillmaker,

More information

AMchip architecture & design

AMchip architecture & design Sezione di Milano AMchip architecture & design Alberto Stabile - INFN Milano AMchip theoretical principle Associative Memory chip: AMchip Dedicated VLSI device - maximum parallelism Each pattern with private

More information

Bits and Pieces of CS250 s Toolflow

Bits and Pieces of CS250 s Toolflow Bits and Pieces of CS250 s Toolflow CS250 Tutorial 2 (Version 091210a) September 12, 2010 Yunsup Lee In this tutorial you will learn what each VLSI tools used in class are meant to do, how they flow, file

More information

Latch-Up. Parasitic Bipolar Transistors

Latch-Up. Parasitic Bipolar Transistors Latch-Up LATCH-UP CIRCUIT Latch-up is caused by an SCR (Silicon Controlled Rectifier) circuit. Fabrication of CMOS integrated circuits with bulk silicon processing creates a parasitic SCR structure. The

More information

James Lin Vice President, Technology Infrastructure Group National Semiconductor Corporation CODES + ISSS 2003 October 3rd, 2003

James Lin Vice President, Technology Infrastructure Group National Semiconductor Corporation CODES + ISSS 2003 October 3rd, 2003 Challenges for SoC Design in Very Deep Submicron Technologies James Lin Vice President, Technology Infrastructure Group National Semiconductor Corporation CODES + ISSS 2003 October 3rd, 2003 1 Contents

More information

Lecture Content. 1 Adam Teman, 2018

Lecture Content. 1 Adam Teman, 2018 Lecture Content 1 Adam Teman, 2018 Digital VLSI Design Lecture 6: Moving to the Physical Domain Semester A, 2018-19 Lecturer: Dr. Adam Teman December 24, 2018 Disclaimer: This course was prepared, in its

More information

Laboratory 6. - Using Encounter for Automatic Place and Route. By Mulong Li, 2013

Laboratory 6. - Using Encounter for Automatic Place and Route. By Mulong Li, 2013 CME 342 (VLSI Circuit Design) Laboratory 6 - Using Encounter for Automatic Place and Route By Mulong Li, 2013 Reference: Digital VLSI Chip Design with Cadence and Synopsys CAD Tools, Erik Brunvand Background

More information

3. Implementing Logic in CMOS

3. Implementing Logic in CMOS 3. Implementing Logic in CMOS 3. Implementing Logic in CMOS Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 27 September, 27 ECE Department,

More information

EE 330 Laboratory Experiment Number 11

EE 330 Laboratory Experiment Number 11 EE 330 Laboratory Experiment Number 11 Design and Simulation of Digital Circuits using Hardware Description Languages Fall 2017 Contents Purpose:... 3 Background... 3 Part 1: Inverter... 4 1.1 Simulating

More information

Digital IO PAD Overview and Calibration Scheme

Digital IO PAD Overview and Calibration Scheme Digital IO PAD Overview and Calibration Scheme HyunJin Kim School of Electronics and Electrical Engineering Dankook University Contents 1. Introduction 2. IO Structure 3. ZQ Calibration Scheme 4. Conclusion

More information

10. Interconnects in CMOS Technology

10. Interconnects in CMOS Technology 10. Interconnects in CMOS Technology 1 10. Interconnects in CMOS Technology Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 October

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

L14 - Placement and Routing

L14 - Placement and Routing L14 - Placement and Routing Ajay Joshi Massachusetts Institute of Technology RTL design flow HDL RTL Synthesis manual design Library/ module generators netlist Logic optimization a b 0 1 s d clk q netlist

More information

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets.

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Clock Routing Problem Formulation Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Better to develop specialized routers for these nets.

More information

THE latest generation of microprocessors uses a combination

THE latest generation of microprocessors uses a combination 1254 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 30, NO. 11, NOVEMBER 1995 A 14-Port 3.8-ns 116-Word 64-b Read-Renaming Register File Creigton Asato Abstract A 116-word by 64-b register file for a 154 MHz

More information

EE-382M VLSI II. Early Design Planning: Front End

EE-382M VLSI II. Early Design Planning: Front End EE-382M VLSI II Early Design Planning: Front End Mark McDermott EE 382M-8 VLSI-2 Page Foil # 1 1 EDP Objectives Get designers thinking about physical implementation while doing the architecture design.

More information

Physical Placement with Cadence SoCEncounter 7.1

Physical Placement with Cadence SoCEncounter 7.1 Physical Placement with Cadence SoCEncounter 7.1 Joachim Rodrigues Department of Electrical and Information Technology Lund University Lund, Sweden November 2008 Address for correspondence: Joachim Rodrigues

More information

Physical Implementation

Physical Implementation CS250 VLSI Systems Design Fall 2009 John Wawrzynek, Krste Asanovic, with John Lazzaro Physical Implementation Outline Standard cell back-end place and route tools make layout mostly automatic. However,

More information

QL8X12B pasic 1 Family Very-High-Speed CMOS FPGA

QL8X12B pasic 1 Family Very-High-Speed CMOS FPGA pasic HIGHLIGHTS 1,000 usable ASIC gates, 64 I/O pins pasic 1 Family Very-High-Speed CMOS FPGA Rev B Very High Speed ViaLink metal-to-metal programmable via antifuse technology, allows counter speeds over

More information

Low Voltage Bandgap References and High PSRR Mechanism

Low Voltage Bandgap References and High PSRR Mechanism Low Voltage Bandgap References and High PSRR Mechanism Vahe Arakelyan 2nd year Master Student Synopsys Armenia Educational Department, State Engineering University of Armenia Moscow March 21-24, 2011 Outline

More information

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica VLSI Design Automation 1 Outline Technology trends VLSI Design flow (an overview) 2 IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing

More information

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES DIGITAL DESIGN TECHNOLOGY & TECHNIQUES CAD for ASIC Design 1 INTEGRATED CIRCUITS (IC) An integrated circuit (IC) consists complex electronic circuitries and their interconnections. William Shockley et

More information

Digital VLSI Design. Lecture 7: Placement

Digital VLSI Design. Lecture 7: Placement Digital VLSI Design Lecture 7: Placement Semester A, 2016-17 Lecturer: Dr. Adam Teman 29 December 2016 Disclaimer: This course was prepared, in its entirety, by Adam Teman. Many materials were copied from

More information

Design is neither a form alone or function alone, it is aesthetic synthesis of the both. Ferdinand Porsche

Design is neither a form alone or function alone, it is aesthetic synthesis of the both. Ferdinand Porsche Chapter 2 FLOORPLANNING Design is neither a form alone or function alone, it is aesthetic synthesis of the both. Ferdinand Porsche Floorplanning is the art of any physical design. A well thought-out floorplan

More information

Tutorial for Cadence SOC Encounter Place & Route

Tutorial for Cadence SOC Encounter Place & Route Tutorial for Cadence SOC Encounter Place & Route For Encounter RTL-to-GDSII System 13.15 T. Manikas, Southern Methodist University, 3/9/15 Contents 1 Preliminary Setup... 1 1.1 Helpful Hints... 1 2 Starting

More information

AS TECHNOLOGY scaling approaches its limits, 3-D

AS TECHNOLOGY scaling approaches its limits, 3-D 1716 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 36, NO. 10, OCTOBER 2017 Shrunk-2-D: A Physical Design Methodology to Build Commercial-Quality Monolithic 3-D ICs

More information

Lecture 11 Logic Synthesis, Part 2

Lecture 11 Logic Synthesis, Part 2 Lecture 11 Logic Synthesis, Part 2 Xuan Silvia Zhang Washington University in St. Louis http://classes.engineering.wustl.edu/ese461/ Write Synthesizable Code Use meaningful names for signals and variables

More information

INTERCONNECT TESTING WITH BOUNDARY SCAN

INTERCONNECT TESTING WITH BOUNDARY SCAN INTERCONNECT TESTING WITH BOUNDARY SCAN Paul Wagner Honeywell, Inc. Solid State Electronics Division 12001 State Highway 55 Plymouth, Minnesota 55441 Abstract Boundary scan is a structured design technique

More information

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 TABLE OF CONTENTS 1.0 PURPOSE... 1 2.0 INTRODUCTION... 1 3.0 ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 3.1 PRODUCT DEFINITION PHASE... 3 3.2 CHIP ARCHITECTURE PHASE... 4 3.3 MODULE AND FULL IC DESIGN PHASE...

More information

Digital Electronics. CHAPTER THIRTY TWO. Semiconductor Read-Only Memories

Digital Electronics. CHAPTER THIRTY TWO. Semiconductor Read-Only Memories Digital Electronics. CHAPTER THIRTY TWO Semiconductor Read-Only Memories Introduction Diode circuits, BJT circuits, and MOSFET circuits are used to provide memory semiconductor circuits consisting of both

More information

EE586 VLSI Design. Partha Pande School of EECS Washington State University

EE586 VLSI Design. Partha Pande School of EECS Washington State University EE586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 1 (Introduction) Why is designing digital ICs different today than it was before? Will it change in

More information

Prerequisites for Rou4ng

Prerequisites for Rou4ng Basic Zroute Flow Prerequisites for Rou4ng Library requirements Zroute gets all of the design rule informa4on from the technology file; therefore, you must ensure that all design rules are defined in the

More information

ECE 637 Integrated VLSI Circuits. Introduction. Introduction EE141

ECE 637 Integrated VLSI Circuits. Introduction. Introduction EE141 ECE 637 Integrated VLSI Circuits Introduction EE141 1 Introduction Course Details Instructor Mohab Anis; manis@vlsi.uwaterloo.ca Text Digital Integrated Circuits, Jan Rabaey, Prentice Hall, 2 nd edition

More information

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia Lecture 4a CMOS Fabrication, Layout and Simulation R. Saleh Dept. of ECE University of British Columbia res@ece.ubc.ca 1 Fabrication Fabrication is the process used to create devices and wires. Transistors

More information

VLSI Design Automation. Maurizio Palesi

VLSI Design Automation. Maurizio Palesi VLSI Design Automation 1 Outline Technology trends VLSI Design flow (an overview) 2 Outline Technology trends VLSI Design flow (an overview) 3 IC Products Processors CPU, DSP, Controllers Memory chips

More information

Process-Induced Skew Variation for Scaled 2-D and 3-D ICs

Process-Induced Skew Variation for Scaled 2-D and 3-D ICs Process-Induced Skew Variation for Scaled 2-D and 3-D ICs Hu Xu, Vasilis F. Pavlidis, and Giovanni De Micheli LSI-EPFL July 26, 2010 SLIP 2010, Anaheim, USA Presentation Outline 2-D and 3-D Clock Distribution

More information

ECE 5745 Complex Digital ASIC Design Topic 7: Packaging, Power Distribution, Clocking, and I/O

ECE 5745 Complex Digital ASIC Design Topic 7: Packaging, Power Distribution, Clocking, and I/O ECE 5745 Complex Digital ASIC Design Topic 7: Packaging, Power Distribution, Clocking, and I/O Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5745

More information

A 50% Lower Power ARM Cortex CPU using DDC Technology with Body Bias. David Kidd August 26, 2013

A 50% Lower Power ARM Cortex CPU using DDC Technology with Body Bias. David Kidd August 26, 2013 A 50% Lower Power ARM Cortex CPU using DDC Technology with Body Bias David Kidd August 26, 2013 1 HOTCHIPS 2013 Copyright 2013 SuVolta, Inc. All rights reserved. Agenda DDC transistor and PowerShrink platform

More information

EECS 627, Lab Assignment 2

EECS 627, Lab Assignment 2 EECS 627, Lab Assignment 2 1 Introduction In this lab assignment, you will extend the process of designing your multiplier chip. You will add two more blocks (a pseudo-random test pattern generator and

More information

ProASIC PLUS FPGA Family

ProASIC PLUS FPGA Family ProASIC PLUS FPGA Family Key Features Reprogrammable /Nonvolatile Flash Technology Low Power Secure Single Chip/Live at Power Up 1M Equivalent System Gates Cost Effective ASIC Alternative ASIC Design Flow

More information

Thermal-Aware 3D IC Physical Design and Architecture Exploration

Thermal-Aware 3D IC Physical Design and Architecture Exploration Thermal-Aware 3D IC Physical Design and Architecture Exploration Jason Cong & Guojie Luo UCLA Computer Science Department cong@cs.ucla.edu http://cadlab.cs.ucla.edu/~cong Supported by DARPA Outline Thermal-Aware

More information

GUIDELINES FOR USE SMIC 0.18 micron, 1.8 V high-density synchronous single port SRAM IP blocks compiler

GUIDELINES FOR USE SMIC 0.18 micron, 1.8 V high-density synchronous single port SRAM IP blocks compiler GUIDELINES FOR USE SMIC 0.18 micron, 1.8 V high-density synchronous single port SRAM IP blocks compiler Ver. 1.0 November 2010 www.ntlab.com CONTENT 1. DESCRIPTION OF THE COMPILER... 3 1.1 GENERAL CHARACTERISTICS

More information

Designing with Siliconix PC Card (PCMCIA) Power Interface Switches

Designing with Siliconix PC Card (PCMCIA) Power Interface Switches Designing with Siliconix PC Card (PCMCIA) Power Interface Switches AN716 Innovation in portable computer design is driven today by the need for smaller, lighter, and more energy-efficient products. This

More information

National Semiconductor Application Note 368 Larry Wakeman March 1984

National Semiconductor Application Note 368 Larry Wakeman March 1984 An Introduction to and Comparison of 54HCT 74HCT TTL Compatible CMOS Logic The 54HC 74HC series of high speed CMOS logic is unique in that it has a sub-family of components designated 54HCT 74HCT Generally

More information

Technology Dependent Logic Optimization Prof. Kurt Keutzer EECS University of California Berkeley, CA Thanks to S. Devadas

Technology Dependent Logic Optimization Prof. Kurt Keutzer EECS University of California Berkeley, CA Thanks to S. Devadas Technology Dependent Logic Optimization Prof. Kurt Keutzer EECS University of California Berkeley, CA Thanks to S. Devadas 1 RTL Design Flow HDL RTL Synthesis Manual Design Module Generators Library netlist

More information

On the Design of Ultra-High Density 14nm Finfet based Transistor-Level Monolithic 3D ICs

On the Design of Ultra-High Density 14nm Finfet based Transistor-Level Monolithic 3D ICs 2016 IEEE Computer Society Annual Symposium on VLSI On the Design of Ultra-High Density 14nm Finfet based Transistor-Level Monolithic 3D ICs Jiajun Shi 1,2, Deepak Nayak 1,Motoi Ichihashi 1, Srinivasa

More information

ECE425: Introduction to VLSI System Design Machine Problem 3 Due: 11:59pm Friday, Dec. 15 th 2017

ECE425: Introduction to VLSI System Design Machine Problem 3 Due: 11:59pm Friday, Dec. 15 th 2017 ECE425: Introduction to VLSI System Design Machine Problem 3 Due: 11:59pm Friday, Dec. 15 th 2017 In this MP, you will use automated tools to synthesize the controller module from your MP2 project into

More information

Brief Introduction of Cell-based Design. Ching-Da Chan CIC/DSD

Brief Introduction of Cell-based Design. Ching-Da Chan CIC/DSD Brief Introduction of Cell-based Design Ching-Da Chan CIC/DSD 1 Design Abstraction Levels SYSTEM MODULE + GATE CIRCUIT S n+ G DEVICE n+ D 2 Full Custom V.S Cell based Design Full custom design Better patent

More information

ASIC, Customer-Owned Tooling, and Processor Design

ASIC, Customer-Owned Tooling, and Processor Design ASIC, Customer-Owned Tooling, and Processor Design Design Style Myths That Lead EDA Astray Nancy Nettleton Manager, VLSI ASIC Device Engineering April 2000 Design Style Myths COT is a design style that

More information

Pad Ring and Floor Planning

Pad Ring and Floor Planning Pad Ring and Floor Planning Pad Ring Core Block 1 Block 2 Block Block 3 Block 6 Block 5 The core of the chip (made up of one or more top level blocks) is surrounded by a ring of pads. The design of the

More information

A 65nm LEVEL-1 CACHE FOR MOBILE APPLICATIONS

A 65nm LEVEL-1 CACHE FOR MOBILE APPLICATIONS A 65nm LEVEL-1 CACHE FOR MOBILE APPLICATIONS ABSTRACT We describe L1 cache designed for digital signal processor (DSP) core. The cache is 32KB with variable associativity (4 to 16 ways) and is pseudo-dual-ported.

More information

Linking Layout to Logic Synthesis: A Unification-Based Approach

Linking Layout to Logic Synthesis: A Unification-Based Approach Linking Layout to Logic Synthesis: A Unification-Based Approach Massoud Pedram Department of EE-Systems University of Southern California Los Angeles, CA February 1998 Outline Introduction Technology and

More information

A Novel Methodology to Debug Leakage Power Issues in Silicon- A Mobile SoC Ramp Production Case Study

A Novel Methodology to Debug Leakage Power Issues in Silicon- A Mobile SoC Ramp Production Case Study A Novel Methodology to Debug Leakage Power Issues in Silicon- A Mobile SoC Ramp Production Case Study Ravi Arora Co-Founder & CTO, Graphene Semiconductors India Pvt Ltd, India ABSTRACT: As the world is

More information

Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology

Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology OUTLINE Introduction Mapping for Schematic and Layout Connectivity Generate Layout from Schematic Connectivity Some Useful Features

More information

Lecture 20: Package, Power, and I/O

Lecture 20: Package, Power, and I/O Introduction to CMOS VLSI Design Lecture 20: Package, Power, and I/O David Harris Harvey Mudd College Spring 2004 1 Outline Packaging Power Distribution I/O Synchronization Slide 2 2 Packages Package functions

More information

High-Voltage Structured ASICs for Industrial Applications - A Single Chip Solution

High-Voltage Structured ASICs for Industrial Applications - A Single Chip Solution High-Voltage Structured ASICs for Industrial Applications - A Single Chip Solution Yipin Zhang, Cor Scherjon Institut für Mikroelektronik Stuttgart Allmandring 30 a 70569 Stuttgart This paper presents

More information

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 09, 2016 ISSN (online): 2321-0613 A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM Yogit

More information

Circuit Model for Interconnect Crosstalk Noise Estimation in High Speed Integrated Circuits

Circuit Model for Interconnect Crosstalk Noise Estimation in High Speed Integrated Circuits Advance in Electronic and Electric Engineering. ISSN 2231-1297, Volume 3, Number 8 (2013), pp. 907-912 Research India Publications http://www.ripublication.com/aeee.htm Circuit Model for Interconnect Crosstalk

More information