Continuing Moore s law

Size: px
Start display at page:

Download "Continuing Moore s law"

Transcription

1 Continuing Moore s law MARK BOHR INTEL SENIOR FELLOW, TECHNOLOGY AND MANUFACTURING GROUP DIRECTOR, PROCESS ARCHITECTURE AND INTEGRATION SEPTEMBER 19, 2017

2 Legal Disclaimer DISCLOSURES China Tech and Manufacturing Day 2017 occurs during Intel s Quiet Period, before Intel announces its 2017 third quarter financial and operating results. Therefore, presenters will not be addressing third quarter information during this year s program. Statements in this presentation that refer to forecasts, future plans and expectations are forward-looking statements that involve a number of risks and uncertainties. Words such as anticipates, expects, intends, goals, plans, believes, seeks, estimates, continues, may, will, would, should, could, and variations of such words and similar expressions are intended to identify such forward-looking statements. Statements that refer to or are based on projections, uncertain events or assumptions also identify forward-looking statements. Such statements are based on management s expectations as of September 19-20, 2017, and involve many risks and uncertainties that could cause actual results to differ materially from those expressed or implied in these forward-looking statements. Important factors that could cause actual results to differ materially from the company s expectations are set forth in Intel s earnings release dated July 27, 2017, which is included as an exhibit to Intel s Form 8-K furnished to the SEC on such date. Additional information regarding these and other factors that could affect Intel s results is included in Intel s SEC filings, including the company s most recent reports on Forms 10-K, 10-Q and 8-K reports may be obtained by visiting our Investor Relations website at or the SEC s website at

3 Intel innovation leadership Intel 90nm 65nm 45nm 32nm 22nm 14nm 10nm Year Others 90nm 65nm 40nm 28nm 20nm 16nm 10nm Intel leads the industry by at least 3 years in introducing major process innovations

4 Intel innovation leadership Intel 90nm 65nm 45nm 32nm 22nm 14nm 10nm Year Others 90nm 65nm 40nm 28nm 20nm 16nm 10nm Intel leads the industry by at least 3 years in introducing major process innovations

5 Intel innovation leadership High-k Metal Gate Intel 90nm 65nm 45nm 32nm 22nm 14nm 10nm Year Others 90nm 65nm 40nm 28nm 20nm 16nm 10nm High-k Metal Gate Intel leads the industry by at least 3 years in introducing major process innovations

6 Intel innovation leadership High-k Metal Gate Self Align Via Intel 90nm 65nm 45nm 32nm 22nm 14nm 10nm Year Others 90nm 65nm 40nm 28nm 20nm 16nm 10nm High-k Metal Gate Self Align Via Intel leads the industry by at least 3 years in introducing major process innovations

7 Intel innovation leadership High-k Metal Gate Self Align Via FinFET Transistor Intel 90nm 65nm 45nm 32nm 22nm 14nm 10nm Year Others 90nm 65nm 40nm 28nm 20nm 16nm 10nm High-k Metal Gate Self Align Via FinFET Transistor Intel leads the industry by at least 3 years in introducing major process innovations

8 Intel innovation leadership High-k Metal Gate Self Align Via FinFET Transistor Hyper Scaling Intel 90nm 65nm 45nm 32nm 22nm 14nm 10nm Year Others 90nm 65nm 40nm 28nm 20nm 16nm 10nm High-k Metal Gate Self Align Via FinFET Transistor Hyper Scaling ~3 years Intel leads the industry by at least 3 years in introducing major process innovations

9 Intel innovation leadership Fin Contact High-k Metal Gate Self Align Via FinFET Transistor Hyper Scaling Intel 90nm 65nm 45nm 32nm 22nm 14nm 10nm Year Others 90nm 65nm 40nm 28nm 20nm 16nm 10nm Gate Hyper Scaling High-k Metal Gate Self Align Via FinFET Transistor Hyper Scaling ~3 years Hyper Scaling?? Intel leads the industry by at least 3 years in introducing major process innovations

10 Intel innovation leadership Fin Contact High-k Metal Gate Self Align Via FinFET Transistor Hyper Scaling Intel 90nm 65nm 45nm 32nm 22nm 14nm 10nm Year Gate Hyper Scaling Others 90nm 65nm 40nm 28nm 20nm 16nm 10nm High-k Metal Gate Self Align Via FinFET Transistor Hyper Scaling ~3 years Hyper Scaling?? Intel developed all the major logic process innovations used by our industry over the past 15 years

11 Logic area scaling 1 45nm.49x Logic Area Metric 32nm.45x Gate Pitch Logic Area (relative) nm Logic Cell Height Logic Cell Width HVM Wafer Start Date Traditional logic area scaling was ~0.49x per generation using a gate pitch x cell height metric

12 Logic area scaling 1 45nm.49x Logic Area Metric 32nm.45x Gate Pitch Logic Area (relative) nm 14nm.37x.37x Logic Cell Height 10nm Logic Cell Width HVM Wafer Start Date Hyper scaling on 14 nm and 10 nm provides better than normal logic area scaling

13 Logic area scaling 1 45nm.49x Logic Area Metric 32nm.45x Gate Pitch Logic Area (relative) nm 14nm.37x.37x Logic Cell Height 10nm Logic Cell Width but gate pitch x cell height is not a comprehensive transistor density metric HVM Wafer Start Date

14 Logic Transistor density metric 2-Input NAND Cell Complex Scan Flip-Flop Logic Cell Cell Height Cell Width Cell Width NAND2 Tr Count Scan Flip Flop Tr Count 0.6 x x = # Transistors / mm 2 NAND2 Cell Area Scan Flip Flop Cell Area Standard NAND+SFF metric is a more accurate estimate of logic transistor density

15 Logic Transistor density nm Intel 14nm 2.7x Transistor Density MTr / mm nm 22nm 2.1x 2.5x 60/40 NAND+SFF Density Metric 45nm 2.3x HVM Wafer Start Date Hyper scaling on 14 nm and 10 nm provides better than normal transistor density

16 Logic Transistor density nm Intel 14nm Transistor Density MTr / mm nm 22nm 60/40 NAND+SFF Density Metric 45nm HVM Wafer Start Date Transistor density improvements continue at a rate of ~doubling every 2 years

17 Logic Transistor density 100 Intel 14nm 10nm MTr/mm NAND SFF /40 Transistor Density MTr / mm nm 22nm MTr / mm 2 60/40 NAND+SFF Density Metric 45nm HVM Wafer Start Date Transistor density improvements continue at a rate of ~doubling every 2 years

18 Microprocessor die area scaling 0.62x 100 mm 2 62 mm x Area 0.62x 0.62x 38.4 mm mm 2 IO Logic SRAM IO Logic 100 SRAM mm 2 IO Logic 100 SRAM mm 2 IO Logic 100 SRAM mm mm 2 IO Logic 100 SRAM mm 2 45 nm 32 nm 22 nm 14 nm 10 nm Normal microprocessor die area scaling has been ~0.62x per generation

19 Microprocessor die area scaling 100 mm x 62 mm x Area 38.4 mm x 0.43x IO Logic IO Logic IO Logic 17.7 mm mm 2 IO Logic SRAM 100 SRAM mm SRAM mm SRAM mm 2 IO Logic 100 SRAM mm 2 45 nm 32 nm 22 nm 14 nm 10 nm Hyper scaling delivers x die area scaling on 14 nm and 10 nm

20 45 nm 32 nm 22 nm 14 nm 10 nm 7 nm 45 nm 32 nm 22 nm 14 nm 10 nm 7 nm 45 nm 32 nm 22 nm 14 nm 10 nm 7 nm Log Scale Log Scale Log Scale Cost per transistor mm 2 / Transistor (normalized) $ / mm 2 (normalized) $ / Transistor (normalized) x = Transistor area is scaling faster than normal

21 45 nm 32 nm 22 nm 14 nm 10 nm 7 nm 45 nm 32 nm 22 nm 14 nm 10 nm 7 nm 45 nm 32 nm 22 nm 14 nm 10 nm 7 nm Log Scale Log Scale Log Scale Cost per transistor mm 2 / Transistor (normalized) $ / mm 2 (normalized) $ / Transistor (normalized) x = Wafer cost is increasing

22 45 nm 32 nm 22 nm 14 nm 10 nm 7 nm 45 nm 32 nm 22 nm 14 nm 10 nm 7 nm 45 nm 32 nm 22 nm 14 nm 10 nm 7 nm Log Scale Log Scale Log Scale Cost per transistor mm 2 / Transistor (normalized) $ / mm 2 (normalized) $ / Transistor (normalized) x = Cost per transistor continues to come down

23 Transistor Performance (log scale) Dynamic Capacitance (log scale) Performance per Watt (log scale) Transistor performance and power Performance Power Performance per Watt 32nm 22nm 14nm Higher Performance 10nm Lower Power 10nm Better Perf/Watt 10nm 14nm 32nm 22nm 14nm 32nm 22nm Process Readiness Date Process Readiness Date Process Readiness Date Scaled transistors continue to provide improved performance per watt

24 Gate Innovation Enabled technology Pipeline 45 nm 32 nm 22 nm 14 nm 10 nm 7 nm 5 nm 3 nm Manufacturing Development Research III-V Contact III-V Transistors 3D Stacking Material Synthesis High-k Metal Gate FinFETs Contact over Gate 2D Materials Nanowires EUV Patterning 10nm SA Double Patterning SA Quad Patterning Interconnects Beyond CMOS Dense Memory Future options subject to change Wide range of options in research to continue Moore s Law

25 Summary Intel leads the industry in introducing innovations that enable scaling Hyper scaling on Intel 14 nm and 10 nm provides better-than-normal scaling while continuing to reduce cost per transistor and improve performance per watt Intel s research and development groups are exploring a wide range of novel technology options to continue scaling for the foreseeable future Moore s Law is alive and well at Intel

technology Leadership

technology Leadership technology Leadership MARK BOHR INTEL SENIOR FELLOW, TECHNOLOGY AND MANUFACTURING GROUP DIRECTOR, PROCESS ARCHITECTURE AND INTEGRATION SEPTEMBER 19, 2017 Legal Disclaimer DISCLOSURES China Tech and Manufacturing

More information

Leading at the edge TECHNOLOGY AND MANUFACTURING DAY

Leading at the edge TECHNOLOGY AND MANUFACTURING DAY Leading at the edge IDM ADVANTAGE DR. MURTHY RENDUCHINTALA President - Client, IoT Businesses & Systems Architecture Group Disclosures Intel Technology and Manufacturing Day 2017 occurs during Intel s

More information

Strategy overview STACY J. SMITH GROUP PRESIDENT, MANUFACTURING, OPERATIONS AND SALES SEPTEMBER 19, 2017 TECHNOLOGY AND MANUFACTURING DAY

Strategy overview STACY J. SMITH GROUP PRESIDENT, MANUFACTURING, OPERATIONS AND SALES SEPTEMBER 19, 2017 TECHNOLOGY AND MANUFACTURING DAY Strategy overview STACY J. SMITH GROUP PRESIDENT, MANUFACTURING, OPERATIONS AND SALES SEPTEMBER 19, 2017 Legal Disclaimer DISCLOSURES China Tech and Manufacturing Day 2017 occurs during Intel s Quiet Period,

More information

Side Channel Analysis Security issue ANALYST CONSULTATION JANUARY

Side Channel Analysis Security issue ANALYST CONSULTATION JANUARY Side Channel Analysis Security issue ANALYST CONSULTATION JANUARY 3 2018 briefing Objectives Provide information on a new class of security issue & mitigation Provide context on how this issue has brought

More information

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow Moore s Law: Alive and Well Mark Bohr Intel Senior Fellow Intel Scaling Trend 10 10000 1 1000 Micron 0.1 100 nm 0.01 22 nm 14 nm 10 nm 10 0.001 1 1970 1980 1990 2000 2010 2020 2030 Intel Scaling Trend

More information

Fast forward. To your <next>

Fast forward. To your <next> Fast forward To your Risk Factors This presentation occurs during Intel s Quiet Period, before Intel announces its financial and operating results for the second quarter of 2017. Therefore, presenters

More information

Data-Centric Innovation Summit DAN MCNAMARA SENIOR VICE PRESIDENT GENERAL MANAGER, PROGRAMMABLE SOLUTIONS GROUP

Data-Centric Innovation Summit DAN MCNAMARA SENIOR VICE PRESIDENT GENERAL MANAGER, PROGRAMMABLE SOLUTIONS GROUP Data-Centric Innovation Summit DAN MCNAMARA SENIOR VICE PRESIDENT GENERAL MANAGER, PROGRAMMABLE SOLUTIONS GROUP Devices / edge network Cloud/data center Removing data Bottlenecks with Fpga acceleration

More information

Data-Centric Innovation Summit ALPER ILKBAHAR VICE PRESIDENT & GENERAL MANAGER MEMORY & STORAGE SOLUTIONS, DATA CENTER GROUP

Data-Centric Innovation Summit ALPER ILKBAHAR VICE PRESIDENT & GENERAL MANAGER MEMORY & STORAGE SOLUTIONS, DATA CENTER GROUP Data-Centric Innovation Summit ALPER ILKBAHAR VICE PRESIDENT & GENERAL MANAGER MEMORY & STORAGE SOLUTIONS, DATA CENTER GROUP tapping data value, real time MOUNTAINS OF UNDERUTILIZED DATA Challenge Shifting

More information

Driving network transformation DAN RODRIGUEZ VICE PRESIDENT DATA CENTER GROUP GENERAL MANAGER COMMUNICATIONS INFRASTRUCTURE DIVISION

Driving network transformation DAN RODRIGUEZ VICE PRESIDENT DATA CENTER GROUP GENERAL MANAGER COMMUNICATIONS INFRASTRUCTURE DIVISION Driving network transformation DAN RODRIGUEZ VICE PRESIDENT DATA CENTER GROUP GENERAL MANAGER COMMUNICATIONS INFRASTRUCTURE DIVISION Transforming the network Data is exploding requires higher capacity

More information

FAST FORWARD TO YOUR <NEXT> CREATION

FAST FORWARD TO YOUR <NEXT> CREATION FAST FORWARD TO YOUR CREATION THE ULTIMATE PROFESSIONAL WORKSTATIONS POWERED BY INTEL XEON PROCESSORS 7 SEPTEMBER 2017 WHAT S NEW INTRODUCING THE NEW INTEL XEON SCALABLE PROCESSOR BREAKTHROUGH PERFORMANCE

More information

FABRICATION TECHNOLOGIES

FABRICATION TECHNOLOGIES FABRICATION TECHNOLOGIES DSP Processor Design Approaches Full custom Standard cell** higher performance lower energy (power) lower per-part cost Gate array* FPGA* Programmable DSP Programmable general

More information

Disclosures Statements in this presentation that refer to Business Outlook, future plans and expectations are forward-looking statements that involve

Disclosures Statements in this presentation that refer to Business Outlook, future plans and expectations are forward-looking statements that involve Disclosures Statements in this presentation that refer to Business Outlook, future plans and expectations are forward-looking statements that involve a number of risks and uncertainties. Words such as

More information

A U G U S T 8, S A N T A C L A R A, C A

A U G U S T 8, S A N T A C L A R A, C A A U G U S T 8, 2 0 1 8 S A N T A C L A R A, C A Data-Centric Innovation Summit LISA SPELMAN VICE PRESIDENT & GENERAL MANAGER INTEL XEON PRODUCTS AND DATA CENTER MARKETING Increased integration and optimization

More information

Risk Factors. Rev. 4/19/11

Risk Factors. Rev. 4/19/11 Risk Factors Today s presentations contain forward-looking statements. All statements made that are not historical facts are subject to a number of risks and uncertainties, and actual results may differ

More information

Data center day. Non-volatile memory. Rob Crooke. August 27, Senior Vice President, General Manager Non-Volatile Memory Solutions Group

Data center day. Non-volatile memory. Rob Crooke. August 27, Senior Vice President, General Manager Non-Volatile Memory Solutions Group Non-volatile memory Rob Crooke Senior Vice President, General Manager Non-Volatile Memory Solutions Group August 27, 2015 THE EXPLOSION OF DATA Requires Technology To Perform Random Data Access, Low Queue

More information

Intel Corporation Silicon Technology Review

Intel Corporation Silicon Technology Review Intel Corporation Silicon Technology Review Ken David Director, Components Research SEMI Strategic Business Conference April 2003 Agenda Corporate Mission Leadership in Technology Leadership in Integration

More information

The Evolving Semiconductor Technology Landscape and What it Means for Lithography. Scotten W. Jones President IC Knowledge LLC

The Evolving Semiconductor Technology Landscape and What it Means for Lithography. Scotten W. Jones President IC Knowledge LLC The Evolving Semiconductor Technology Landscape and What it Means for Lithography Scotten W. Jones President IC Knowledge LLC Outline NAND DRAM Logic Conclusion 2 NAND Linewidth Trend 2D to 3D For approximately

More information

Microelettronica. J. M. Rabaey, "Digital integrated circuits: a design perspective" EE141 Microelettronica

Microelettronica. J. M. Rabaey, Digital integrated circuits: a design perspective EE141 Microelettronica Microelettronica J. M. Rabaey, "Digital integrated circuits: a design perspective" Introduction Why is designing digital ICs different today than it was before? Will it change in future? The First Computer

More information

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 1: Introduction to VLSI Technology Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Course Objectives

More information

Texas Instruments TMX320TCI6488ZUNV Baseband Processor System on a Chip

Texas Instruments TMX320TCI6488ZUNV Baseband Processor System on a Chip Texas Instruments TMX320TCI6488ZUNV Baseband Processor System on a Chip Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning

More information

Moore s s Law, 40 years and Counting

Moore s s Law, 40 years and Counting Moore s s Law, 40 years and Counting Future Directions of Silicon and Packaging Bill Holt General Manager Technology and Manufacturing Group Intel Corporation InterPACK 05 2005 Heat Transfer Conference

More information

Paradigms Shifts in CMP

Paradigms Shifts in CMP Paradigms Shifts in CMP Daniel Redfield Director - NMA CMPUG - Semicon West 2018 Paradigm Shifts in CMP State of CMP Market Inflections are driving CMP Growth and Complexity Paradigm Shifts in CMP Strategies

More information

Beyond Moore. Beyond Programmable Logic.

Beyond Moore. Beyond Programmable Logic. Beyond Moore Beyond Programmable Logic Steve Trimberger Xilinx Research FPL 30 August 2012 Beyond Moore Beyond Programmable Logic Agenda What is happening in semiconductor technology? Moore s Law More

More information

Market Update. Peter Jenkins Vice President, Marketing. 24 November 2014

Market Update. Peter Jenkins Vice President, Marketing. 24 November 2014 Market Update Peter Jenkins Vice President, Marketing 24 Forward looking statements This document contains statements relating to certain projections and business trends that are forward-looking, including

More information

STMicroelectronics STM32F103ZET6 32 Bit MCU. Advanced Functional Analysis

STMicroelectronics STM32F103ZET6 32 Bit MCU. Advanced Functional Analysis Advanced Functional Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor technology, please call Sales at Chipworks. 3685

More information

Sample Table of Contents

Sample Table of Contents Sample Table of Contents from System-on-Chip (SoC) For any additional technical needs concerning semiconductor and electronics technology, please call Sales at Chipworks. 3685 Richmond Road, Suite 500,

More information

Technology is a Journey

Technology is a Journey Technology is a Journey Not a Destination Renée James President, Intel Corp Other names and brands may be claimed as the property of others. Building Tomorrow s TECHNOLOGIES Late 80 s The Early Motherboard

More information

Risk Factors. Rev. 4/19/11

Risk Factors. Rev. 4/19/11 Risk Factors Today s presentations contain forward-looking statements. All statements made that are not historical facts are subject to a number of risks and uncertainties, and actual results may differ

More information

Pushing 193i lithography by Joint optimization of Layout and Lithography

Pushing 193i lithography by Joint optimization of Layout and Lithography Pushing 193i lithography by Joint optimization of Layout and Lithography Peter De Bisschop Imec, Leuven, Belgium Semicon Europe Messe Dresden, Germany Lithography session October 12, 2011 Semiconductor-Industry

More information

EE5780 Advanced VLSI CAD

EE5780 Advanced VLSI CAD EE5780 Advanced VLSI CAD Lecture 1 Introduction Zhuo Feng 1.1 Prof. Zhuo Feng Office: EERC 513 Phone: 487-3116 Email: zhuofeng@mtu.edu Class Website http://www.ece.mtu.edu/~zhuofeng/ee5780fall2013.html

More information

Samsung System LSI Business

Samsung System LSI Business Samsung System LSI Business NS (Stephen) Woo, Ph.D. President & GM of System LSI Samsung Electronics 0/32 Disclaimer The materials in this report include forward-looking statements which can generally

More information

EE586 VLSI Design. Partha Pande School of EECS Washington State University

EE586 VLSI Design. Partha Pande School of EECS Washington State University EE586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 1 (Introduction) Why is designing digital ICs different today than it was before? Will it change in

More information

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape Edition April 2017 Semiconductor technology & processing 3D systems-on-chip A clever partitioning of circuits to improve area, cost, power and performance. In recent years, the technology of 3D integration

More information

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration 1 EECS 598: Integrating Emerging Technologies with Computer Architecture Lecture 10: Three-Dimensional (3D) Integration Instructor: Ron Dreslinski Winter 2016 University of Michigan 1 1 1 Announcements

More information

Advanced multi-patterning and hybrid lithography techniques. Fedor G Pikus, J. Andres Torres

Advanced multi-patterning and hybrid lithography techniques. Fedor G Pikus, J. Andres Torres Advanced multi-patterning and hybrid lithography techniques Fedor G Pikus, J. Andres Torres Outline Need for advanced patterning technologies Multipatterning (MP) technologies What is multipatterning?

More information

Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions

Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions 2013 TSMC, Ltd Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions 2 Agenda Lifestyle Trends Drive Product Requirements Concurrent Technology and Design Development FinFET Design Challenges

More information

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends EE4 - Spring 008 Advanced Digital Integrated Circuits Lecture : Scaling Trends Announcements No office hour next Monday Extra office hours Tuesday and Thursday -3pm CMOS Scaling Rules Voltage, V / α tox/α

More information

Future Matters US Disclosure

Future Matters US Disclosure Disclaimer This presentation has been prepared by Samsung Electronics Co., Ltd. (the "Company"). It does not purport to contain all the information that a prospective investor may require in connection

More information

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends EE24 - Spring 2008 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends Announcements No office hour next Monday Extra office hours Tuesday and Thursday 2-3pm 2 CMOS Scaling Rules Voltage, V

More information

Cell Libraries and Design Hierarchy. Instructor S. Demlow ECE 410 February 1, 2012

Cell Libraries and Design Hierarchy. Instructor S. Demlow ECE 410 February 1, 2012 Cell Libraries and Design Hierarchy Instructor S. Demlow ECE 410 February 1, 2012 Stick Diagrams Simplified NAND Layout Simplified NOR Layout Metal supply rails blue n and p Active green Poly gates red

More information

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN 1 Introduction The evolution of integrated circuit (IC) fabrication techniques is a unique fact in the history of modern industry. The improvements in terms of speed, density and cost have kept constant

More information

TABLE OF CONTENTS III. Section 1. Executive Summary

TABLE OF CONTENTS III. Section 1. Executive Summary Section 1. Executive Summary... 1-1 Section 2. Global IC Industry Outlook and Cycles... 2-1 IC Insights' Forecast Methodology... 2-1 Overview... 2-1 Worldwide GDP... 2-1 Electronic System Sales... 2-2

More information

Technology and Manufacturing

Technology and Manufacturing Technology and Manufacturing Executive Vice President Field Trip 2006 - London, May 23rd Field Trip 2006 - London, May 23rd Technology Technology Development Centers and Main Programs CMOS Logic Platform

More information

Directed Self-Assembly for the Semiconductor Industry

Directed Self-Assembly for the Semiconductor Industry Directed Self-Assembly for the Semiconductor Industry H.-S. Philip Wong, Chris Bencher # Linda He Yi, Xin-Yu Bao, Li-Wen Chang Stanford University, # Applied Materials Stanford University J.W. Jeong...C.A.

More information

Matsushita MN2DS0015 System on a Chip for DVD Players 65 nm CMOS Process Structural Analysis

Matsushita MN2DS0015 System on a Chip for DVD Players 65 nm CMOS Process Structural Analysis June 12, 2006 Matsushita MN2DS0015 System on a Chip for DVD Players 65 nm CMOS Process Structural Analysis For comments, questions, or more information about this report, or for any additional technical

More information

Mobile World Congress Claudine Mangano Director, Global Communications Intel Corporation

Mobile World Congress Claudine Mangano Director, Global Communications Intel Corporation Mobile World Congress 2015 Claudine Mangano Director, Global Communications Intel Corporation Mobile World Congress 2015 Brian Krzanich Chief Executive Officer Intel Corporation 4.9B 2X CONNECTED CONNECTED

More information

ECE 637 Integrated VLSI Circuits. Introduction. Introduction EE141

ECE 637 Integrated VLSI Circuits. Introduction. Introduction EE141 ECE 637 Integrated VLSI Circuits Introduction EE141 1 Introduction Course Details Instructor Mohab Anis; manis@vlsi.uwaterloo.ca Text Digital Integrated Circuits, Jan Rabaey, Prentice Hall, 2 nd edition

More information

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University EE 466/586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 18 Implementation Methods The Design Productivity Challenge Logic Transistors per Chip (K) 10,000,000.10m

More information

The Foundry-Packaging Partnership. Enabling Future Performance. Jon A. Casey. IBM Systems and Technology Group

The Foundry-Packaging Partnership. Enabling Future Performance. Jon A. Casey. IBM Systems and Technology Group The Foundry-Packaging Partnership Enabling Future Performance Jon A. Casey IBM Fellow IBM Systems and Technology Group 5/30/2013 2012 IBM Corporation Data growth will drive the new IT model Dimensions

More information

Technology & Manufacturing. Kevin Ritchie Senior vice president, Technology & Manufacturing

Technology & Manufacturing. Kevin Ritchie Senior vice president, Technology & Manufacturing Technology & Manufacturing Kevin Ritchie Senior vice president, Technology & Manufacturing 27 in review Manufacturing strategy continues to deliver financial results Accelerating analog leadership Increased

More information

Design Methodologies. Full-Custom Design

Design Methodologies. Full-Custom Design Design Methodologies Design styles Full-custom design Standard-cell design Programmable logic Gate arrays and field-programmable gate arrays (FPGAs) Sea of gates System-on-a-chip (embedded cores) Design

More information

CARBONITE 2015 THIRD QUARTER FINANCIAL RESULTS OCTOBER 28, 2015

CARBONITE 2015 THIRD QUARTER FINANCIAL RESULTS OCTOBER 28, 2015 CARBONITE 2015 THIRD QUARTER FINANCIAL RESULTS OCTOBER 28, 2015 EMILY WALT Director of Investor Relations Safe Harbor These slides and the accompanying oral presentation contain "forward-looking statements"

More information

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture 01 Introduction Welcome to the course on Hardware

More information

Sigma Designs SMP8642 Secure Media Processor

Sigma Designs SMP8642 Secure Media Processor Sigma Designs SMP8642 Advanced Functional Analysis 3685 Richmond Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414 www.chipworks.com Advanced Functional Analysis Some of the information in this

More information

Design Methodologies and Tools. Full-Custom Design

Design Methodologies and Tools. Full-Custom Design Design Methodologies and Tools Design styles Full-custom design Standard-cell design Programmable logic Gate arrays and field-programmable gate arrays (FPGAs) Sea of gates System-on-a-chip (embedded cores)

More information

Intel High-Performance Computing. Technologies for Engineering

Intel High-Performance Computing. Technologies for Engineering 6. LS-DYNA Anwenderforum, Frankenthal 2007 Keynote-Vorträge II Intel High-Performance Computing Technologies for Engineering H. Cornelius Intel GmbH A - II - 29 Keynote-Vorträge II 6. LS-DYNA Anwenderforum,

More information

Kirk Skaugen Senior Vice President General Manager, PC Client Group Intel Corporation

Kirk Skaugen Senior Vice President General Manager, PC Client Group Intel Corporation Kirk Skaugen Senior Vice President General Manager, PC Client Group Intel Corporation 2 in 1 Computing Built for Business A Look Inside 2014 Ultrabook 2011 2012 2013 Delivering The Best Mobile Experience

More information

EE380K: Computing In Transition

EE380K: Computing In Transition EE380K: Computing In Transition Nick Tredennick, Technology Analyst Gilder Publishing bozo@computer.org Semiconductor World Market 2 Where Are Semiconductors Headed? Semiconductors Integrated Circuits

More information

Non-contact Test at Advanced Process Nodes

Non-contact Test at Advanced Process Nodes Chris Sellathamby, J. Hintzke, B. Moore, S. Slupsky Scanimetrics Inc. Non-contact Test at Advanced Process Nodes June 8-11, 8 2008 San Diego, CA USA Overview Advanced CMOS nodes are a challenge for wafer

More information

Ushering in the 3D Memory Era with V- NAND

Ushering in the 3D Memory Era with V- NAND Ushering in the 3D Memory Era with V- NAND Aug. 2013 Jim Elliott (Vice President, Memory Marketing) E.S. Jung (EVP/GM, Semiconductor R&D Center) Flash Memory Summit 2013 Santa Clara, CA 1 Legal Disclaimer

More information

2009 International Solid-State Circuits Conference Intel Paper Highlights

2009 International Solid-State Circuits Conference Intel Paper Highlights 2009 International Solid-State Circuits Conference Intel Paper Highlights Mark Bohr Intel Senior Fellow Soumyanath Krishnamurthy Intel Fellow 1 2009 ISSCC Intel Paper Summary Under embargo until February,

More information

On the Design of Ultra-High Density 14nm Finfet based Transistor-Level Monolithic 3D ICs

On the Design of Ultra-High Density 14nm Finfet based Transistor-Level Monolithic 3D ICs 2016 IEEE Computer Society Annual Symposium on VLSI On the Design of Ultra-High Density 14nm Finfet based Transistor-Level Monolithic 3D ICs Jiajun Shi 1,2, Deepak Nayak 1,Motoi Ichihashi 1, Srinivasa

More information

Soitec ultra-thin SOI substrates enabling FD-SOI technology. July, 2015

Soitec ultra-thin SOI substrates enabling FD-SOI technology. July, 2015 Soitec ultra-thin SOI substrates enabling FD-SOI technology July, 2015 Agenda FD-SOI: Background & Value Proposition C1- Restricted July 8, 2015 2 Today Ultra-mobile & Connected Consumer At Any Time With

More information

A STORAGE CLASS MEMORY SOLUTION IN THE MAKING. Investor Presentation July 2017

A STORAGE CLASS MEMORY SOLUTION IN THE MAKING. Investor Presentation July 2017 A STORAGE CLASS MEMORY SOLUTION IN THE MAKING. Investor Presentation July 2017 1 DISCLAIMER IMPORTANT NOTICE: This presentation has been prepared by 4DS Memory Limited. ( 4DS or the Company ) based on

More information

Problem 2 If the cost of a 12 inch wafer (actually 300mm) is $3500, what is the cost/die for the circuit in Problem 1.

Problem 2 If the cost of a 12 inch wafer (actually 300mm) is $3500, what is the cost/die for the circuit in Problem 1. EE 330 Homework 1 Fall 2016 Due Friday Aug 26 Problem 1 Assume a simple circuit requires 1,000 MOS transistors on a die and that all transistors are minimum sized. If the transistors are fabricated in

More information

Tech Data s Acquisition of Avnet Technology Solutions

Tech Data s Acquisition of Avnet Technology Solutions Tech Data s Acquisition of Avnet Technology Solutions Creating a Premier Global IT Distributor: From the Data Center to the Living Room September 19, 2016 techdata.com 1 Forward-Looking Statements Safe

More information

Roadmap Past, Present and Future

Roadmap Past, Present and Future Roadmap Past, Present and Future Paolo Gargini Chairman ITRS2.0 Fellow IEEE, Fellow I-JSAPI Intel Fellow (1995-2012) 1 Multiple Stories 1. Introduction 2. 1998. ITRS 1.0 1. Equivalent Scaling 3. 2000.

More information

Intel SSD Data center evolution

Intel SSD Data center evolution Intel SSD Data center evolution March 2018 1 Intel Technology Innovations Fill the Memory and Storage Gap Performance and Capacity for Every Need Intel 3D NAND Technology Lower cost & higher density Intel

More information

Design and Technology Trends

Design and Technology Trends Lecture 1 Design and Technology Trends R. Saleh Dept. of ECE University of British Columbia res@ece.ubc.ca 1 Recently Designed Chips Itanium chip (Intel), 2B tx, 700mm 2, 8 layer 65nm CMOS (4 processors)

More information

Dan Galves, Sr VP Communications, Mobileye. January 17, 2018

Dan Galves, Sr VP Communications, Mobileye. January 17, 2018 Dan Galves, Sr VP Communications, Mobileye January 17, 2018 Risk Factors This presentation occurs during Intel s Quiet Period, before Intel announces its financial and operating results for the fourth

More information

Investor Presentation October 2018

Investor Presentation October 2018 Investor Presentation October 2018 Safe Harbor This presentation contains forward-looking statements concerning Atomera Incorporated ( Atomera, the Company, we, us, and our ). The words believe, may, will,

More information

The Heart of A New Generation Update to Analysts. Anand Chandrasekher Senior Vice President, Intel General Manager, Ultra Mobility Group

The Heart of A New Generation Update to Analysts. Anand Chandrasekher Senior Vice President, Intel General Manager, Ultra Mobility Group The Heart of A New Generation Update to Analysts Anand Chandrasekher Senior Vice President, Intel General Manager, Ultra Mobility Group Today s s presentation contains forward-looking statements. All statements

More information

Toward a Memory-centric Architecture

Toward a Memory-centric Architecture Toward a Memory-centric Architecture Martin Fink EVP & Chief Technology Officer Western Digital Corporation August 8, 2017 1 SAFE HARBOR DISCLAIMERS Forward-Looking Statements This presentation contains

More information

ECE484 VLSI Digital Circuits Fall Lecture 01: Introduction

ECE484 VLSI Digital Circuits Fall Lecture 01: Introduction ECE484 VLSI Digital Circuits Fall 2017 Lecture 01: Introduction Adapted from slides provided by Mary Jane Irwin. [Adapted from Rabaey s Digital Integrated Circuits, 2002, J. Rabaey et al.] CSE477 L01 Introduction.1

More information

Samsung K9GAG08U0M-PCB0 16 Gbit Multi-Level Cell (MLC) 51 nm Process Technology NAND Flash Memory

Samsung K9GAG08U0M-PCB0 16 Gbit Multi-Level Cell (MLC) 51 nm Process Technology NAND Flash Memory Samsung K9GAG08U0M-PCB0 16 Gbit Multi-Level Cell (MLC) 51 nm Process Technology NAND Flash Memory Structural Analysis with Additional Layout Feature Analysis For comments, questions, or more information

More information

Abbas El Gamal. Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program. Stanford University

Abbas El Gamal. Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program. Stanford University Abbas El Gamal Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program Stanford University Chip stacking Vertical interconnect density < 20/mm Wafer Stacking

More information

Automated system partitioning based on hypergraphs for 3D stacked integrated circuits. FOSDEM 2018 Quentin Delhaye

Automated system partitioning based on hypergraphs for 3D stacked integrated circuits. FOSDEM 2018 Quentin Delhaye Automated system partitioning based on hypergraphs for 3D stacked integrated circuits FOSDEM 2018 Quentin Delhaye Integrated circuits: Let s go 3D Building an Integrated Circuit (IC) Transistors to build

More information

Introduction. Summary. Why computer architecture? Technology trends Cost issues

Introduction. Summary. Why computer architecture? Technology trends Cost issues Introduction 1 Summary Why computer architecture? Technology trends Cost issues 2 1 Computer architecture? Computer Architecture refers to the attributes of a system visible to a programmer (that have

More information

Ultra Low Power (ULP) Challenge in System Architecture Level

Ultra Low Power (ULP) Challenge in System Architecture Level Ultra Low Power (ULP) Challenge in System Architecture Level - New architectures for 45-nm, 32-nm era ASP-DAC 2007 Designers' Forum 9D: Panel Discussion: Top 10 Design Issues Toshinori Sato (Kyushu U)

More information

The impact of 3D storage solutions on the next generation of memory systems

The impact of 3D storage solutions on the next generation of memory systems The impact of 3D storage solutions on the next generation of memory systems DevelopEX 2017 Airport City Israel Avi Klein Engineering Fellow, Memory Technology Group Western Digital Corp October 31, 2017

More information

CAD for VLSI. Debdeep Mukhopadhyay IIT Madras

CAD for VLSI. Debdeep Mukhopadhyay IIT Madras CAD for VLSI Debdeep Mukhopadhyay IIT Madras Tentative Syllabus Overall perspective of VLSI Design MOS switch and CMOS, MOS based logic design, the CMOS logic styles, Pass Transistors Introduction to Verilog

More information

EITF35: Introduction to Structured VLSI Design

EITF35: Introduction to Structured VLSI Design EITF35: Introduction to Structured VLSI Design Part 1.1.2: Introduction (Digital VLSI Systems) Liang Liu liang.liu@eit.lth.se 1 Outline Why Digital? History & Roadmap Device Technology & Platforms System

More information

Introduction to ASIC Design

Introduction to ASIC Design Introduction to ASIC Design Victor P. Nelson ELEC 5250/6250 CAD of Digital ICs Design & implementation of ASICs Oops Not these! Application-Specific Integrated Circuit (ASIC) Developed for a specific application

More information

INFINERA CORPORATION (Exact name of registrant as specified in its charter)

INFINERA CORPORATION (Exact name of registrant as specified in its charter) UNITED STATES SECURITIES AND EXCHANGE COMMISSION Washington, D.C. 20549 FORM 8-K CURRENT REPORT Pursuant to Section 13 or 15(d) of the Securities Exchange Act of 1934 Date of Report (Date of earliest event

More information

Advanced Heterogeneous Solutions for System Integration

Advanced Heterogeneous Solutions for System Integration Advanced Heterogeneous Solutions for System Integration Kees Joosse Director Sales, Israel TSMC High-Growth Applications Drive Product and Technology Smartphone Cloud Data Center IoT CAGR 12 17 20% 24%

More information

PERFORMANCE METRICS. Mahdi Nazm Bojnordi. CS/ECE 6810: Computer Architecture. Assistant Professor School of Computing University of Utah

PERFORMANCE METRICS. Mahdi Nazm Bojnordi. CS/ECE 6810: Computer Architecture. Assistant Professor School of Computing University of Utah PERFORMANCE METRICS Mahdi Nazm Bojnordi Assistant Professor School of Computing University of Utah CS/ECE 6810: Computer Architecture Overview Announcement Sept. 5 th : Homework 1 release (due on Sept.

More information

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation Course Goals Lab Understand key components in VLSI designs Become familiar with design tools (Cadence) Understand design flows Understand behavioral, structural, and physical specifications Be able to

More information

Broadcom BCM7405 HD Video/Audio System-on-Chip (SoC)

Broadcom BCM7405 HD Video/Audio System-on-Chip (SoC) Broadcom BCM7405 HD Video/Audio System-on-Chip (SoC) For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor technology, please call

More information

This presentation and the documents incorporated by reference herein contain forward-looking statements regarding future events and our future

This presentation and the documents incorporated by reference herein contain forward-looking statements regarding future events and our future This presentation and the documents incorporated by reference herein contain forward-looking statements regarding future events and our future results that are subject to the safe harbor provisions of

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

ELE 455/555 Computer System Engineering. Section 1 Review and Foundations Class 3 Technology

ELE 455/555 Computer System Engineering. Section 1 Review and Foundations Class 3 Technology ELE 455/555 Computer System Engineering Section 1 Review and Foundations Class 3 MOSFETs MOSFET Terminology Metal Oxide Semiconductor Field Effect Transistor 4 terminal device Source, Gate, Drain, Body

More information

Advanced Computer Architecture (CS620)

Advanced Computer Architecture (CS620) Advanced Computer Architecture (CS620) Background: Good understanding of computer organization (eg.cs220), basic computer architecture (eg.cs221) and knowledge of probability, statistics and modeling (eg.cs433).

More information

High-Performance System Design. Prof. Vojin G. Oklobdzija

High-Performance System Design. Prof. Vojin G. Oklobdzija High-Performance System Design Prof. Vojin G. Oklobdzija Overview of the course Requirements: Knowledge of CMOS digital circuits Basic knowledge of analog circuits Knowledge of Logic Design Textbook: High-Performance

More information

NVIDIA Tegra T20-H-A2 Application Processor TSMC 40 nm Low Power CMOS Process

NVIDIA Tegra T20-H-A2 Application Processor TSMC 40 nm Low Power CMOS Process NVIDIA Tegra T20-H-A2 Application Processor TSMC 40 nm Low Power CMOS Process Structural Analysis 3685 Richmond Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414 www.chipworks.com Structural

More information

Accelerating Innovation

Accelerating Innovation Accelerating Innovation In the Era of Exponentials Dr. Chi-Foon Chan President and co-chief Executive Officer, Synopsys, Inc. August 27, 2013 ASQED 1 Accelerating Technology Innovation Exciting time to

More information

A Design Tradeoff Study with Monolithic 3D Integration

A Design Tradeoff Study with Monolithic 3D Integration A Design Tradeoff Study with Monolithic 3D Integration Chang Liu and Sung Kyu Lim Georgia Institute of Techonology Atlanta, Georgia, 3332 Phone: (44) 894-315, Fax: (44) 385-1746 Abstract This paper studies

More information

B. Riley & Co. 16 th Annual Investor Conference. Jeffrey Andreson, CFO

B. Riley & Co. 16 th Annual Investor Conference. Jeffrey Andreson, CFO B. Riley & Co. 16 th Annual Investor Conference Jeffrey Andreson, CFO May 2015 Forward-Looking Statements This communication contains forward-looking statements within the meaning of the safe harbor provisions

More information

Market Perspective & Strategy. Kinam Kim, Ph.D CEO, Samsung Display US NAE Foreign Associate, IEEE Fellow

Market Perspective & Strategy. Kinam Kim, Ph.D CEO, Samsung Display US NAE Foreign Associate, IEEE Fellow Market Perspective & Strategy Kinam Kim, Ph.D CEO, Samsung Display US NAE Foreign Associate, IEEE Fellow Heading Disclaimer The materials in this report include forward-looking statements which can generally

More information

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation Dr. Li Li Distinguished Engineer June 28, 2016 Outline Evolution of Internet The Promise of Internet

More information

ReRAM Status and Forecast 2017

ReRAM Status and Forecast 2017 ReRAM Status and Forecast 2017 Mark Webb The Latency Spectrum and Gaps More Like Memory More Like Storage CPU/ SRAM DRAM Storage Class Memory GAP NAND SLC to TLC HDD TAPE 1ns 10ns 100ns 1us 10us 100us

More information