Roadmap Past, Present and Future

Size: px
Start display at page:

Download "Roadmap Past, Present and Future"

Transcription

1 Roadmap Past, Present and Future Paolo Gargini Chairman ITRS2.0 Fellow IEEE, Fellow I-JSAPI Intel Fellow ( ) 1

2 Multiple Stories 1. Introduction ITRS Equivalent Scaling NNI Nanoelectronics Research Initiative (NRI) MPU power limits More than Moore 1. Heterogeneous Integration First Selection of post CMOS devices Equivalent scaling fully in production Scaling acceleration ITRS 15.ITRS Post CMOS map of devices IRDS D D POWER Scaling 2

3 Second Update of Moore s Law X/Year 2X/2Year Log2 of the number of components per integrated function Year International Electron Device Meeting, December

4 4

5 Moore s s Law and Dennard s Scaling Laws Convergence => 30% LINEAR FEATURE REDUCTION S=0.7 50% 50% AREA READUCION GENERATION TO GENERATION 5

6 Phase 1 First Age of Scaling (Self-aligned Silicon Gate) 6

7 IC Industry at a Glance ( ) Driver Cost/transistor -> 50% Reduction How 2x Density/2 years (Moore) Method Geometrical Scaling (Dennard) 7

8 The Incredible Shrinking Silicon Technology of the 90 s Salicide Gate Spacer Salicide Salicide Gate Spacer Salicide 0.35 µ Salicide Gate 0.18µ 1999 Spacer Salicide 8

9 Gate Dielectric Scaling 4 You Are Here! Gate 1.2nm SiO 2 Tox equivalent (nm) Silicon substrate Monolayers 1997 NTRS From My Files 9

10 10

11 Multiple Stories 1. Introduction ITRS Equivalent Scaling NNI Nanoelectronics Research Initiative (NRI) MPU power limits More than Moore 1. Heterogeneous Integration First Selection of post CMOS devices Equivalent scaling fully in production Scaling acceleration ITRS 15.ITRS Post CMOS map of devices IRDS D D POWER Scaling 11

12 1998 ITRS Update Participation extended to: EECA, EIAJ, KSIA, TSIA at WSC on April 23,1998 1st Meeting held on July 10/11,1998 in San Francisco 2nd meeting held on December 10/11,1998 at SFO 50% of tables in 1997 NTRS required some changes 1998 ITRS Update posted on web in April 1999 Tutorial for SEMI 12

13 ITRS

14 Phase 2 Second Age of Scaling (Equivalent Scaling) 14

15 The Ideal MOS Transistor Metal Gate Insulator Source Drain Fully Surrounding Metal Electrode Fully Enclosed, Depleted Semiconductor High-K Gate Insulator Band Engineered Semiconductor Low Resistance Source/Drain From My Files 15

16 ITRS 7/11/

17 IC Industry at a Glance (2003->2021) Driver Cost/transistor-> 50% Reduction How 2x Density/2 years (Moore) Method Equivalent Scaling ( ITRS1.0) 17

18 The Start of the ITRS Micro Tech 2000 Workshop Report 1992NTRS 1994NTRS 1997NTRS Europe Japan Korea Taiwan USA 1998 ITRS Update 1999 ITRS 2000 ITRS Update 2001 ITRS 2002 ITRS Update 18

19 High-k/Metal-Gate (year 2000) 19

20 Four year pace of introduction of Equivalent Scaling into production 20

21 Incubation Time Early Invention Focused Research Introduction Manufacturing Strained Silicon Source Metal Gate Insulator Drain HKMG Raised S/D MultiGates <11 years 21

22 22

23 23

24 24

25 IEEE, ISSCC: Transistor s 60 th year commemorative supplement 25

26

27 Multiple Stories 1. Introduction ITRS Equivalent Scaling NNI Nanoelectronics Research Initiative (NRI) MPU power limits More than Moore 1. Heterogeneous Integration First Selection of post CMOS devices Equivalent scaling fully in production Scaling acceleration ITRS 15.ITRS Post CMOS map of devices IRDS D D POWER Scaling 27

28 28

29 NRI Funded Universities Finding the Next Switch Notre Dame Illinois-UC Michigan Cornell Purdue Penn State UT-Dallas GIT SUNY-Albany GIT Harvard Purdue RPI Columbia Caltech MIT NCSU Yale UVA TUNNEL FET GRAPHENE SPIN LOGIC UC Los Angeles C Berkeley UC Irvine UC Sana Barbara Stanford U Denver Portland State U Iowa SPIN GRAPHENE UT-Austin Rice Texas A&M UT-Dallas ASU Notre Dame U. Maryland NCSU Illinois UC Over 30 Universities in 20 States Columbia Harvard Purdue UVA Yale UC Santa Barbara Stanford Notre Dame U. Nebraska/Lincoln U. Maryland Cornell Illinois UC Caltech UC Berkeley MIT Northwestern Brown U Alabama 29

30 Dec

31 31

32

33 33

34 34

35 35

36 Multiple Stories 1. Introduction ITRS Equivalent Scaling NNI Nanoelectronics Research Initiative (NRI) MPU power limits More than Moore 1. Heterogeneous Integration First Selection of post CMOS devices Equivalent scaling fully in production Scaling acceleration ITRS 15.ITRS Post CMOS map of devices IRDS D D POWER Scaling 36

37 MM+MtM=Heterogeneous Integration 2006 More than Moore: Diversification Analog/RF Passives HV Power Sensors Actuators Biochips More Moore: Miniaturization Baseline CMOS: CPU, Memory, Logic 130nm 90nm 65nm 45nm 32nm 22nm Information Processing Digital content System-on-chip (SoC) Interacting with people and environment Non-digital content System-in-package (SiP) Combining SoC and SiP: Heterogeneous Integration 16 nm.. V Beyond CMOS

38 iphone June 2007 On January 9, 2007 Steve Jobs announced the iphone at the Macworld convention, receiving substantial media attention,[16] and that it would be released later that year. On June 29, 2007 the first iphone was released. 38

39 Tablet April 2010 A WiFi-only model of the tablet was released in April 2010, and a WiFi+3G model was introduced about a month later 39

40 40

41 Multiple Stories 1. Introduction ITRS Equivalent Scaling NNI Nanoelectronics Research Initiative (NRI) MPU power limits More than Moore 1. Heterogeneous Integration First Selection of post CMOS devices Equivalent scaling fully in production Scaling acceleration ITRS 15.ITRS Post CMOS map of devices IRDS D D POWER Scaling 41

42 2D 3D 42

43 22 nm Tri-Gate Transistor Gates Fins Mark Bohr, Kaizad Mistry, May

44 Question How many more technology generations can Equivalent Scaling be extended for? 44

45 Multigate FET Offers a Simple Way for Scaling and Improving Performance Semicon Japan, December 6,

46 Mark Bohr, August 11,

47 Mark Bohr, August 11,

48 Fin FET Moore s Law Acceleration 48

49 Mark Bohr, August 11,

50 50

51 Technology Node Scaling 14 Today s Challenge Technology Node (nm) ITRS 51

52 52

53 Micron/ Intel 20-nm 64G MLC NAND Flash 53

54 NAND Relative Wafer Cost 54

55 55

56 56

57 57

58 58

59 Vertical Logic Architecture 59

60 3D Moore s Law Acceleration 60

61 3D Architecture 61

62 Multiple Stories 1. Introduction ITRS Equivalent Scaling NNI Nanoelectronics Research Initiative (NRI) MPU power limits More than Moore 1. Heterogeneous Integration First Selection of post CMOS devices Equivalent scaling fully in production Scaling acceleration ITRS 15.ITRS Post CMOS map of devices IRDS D D POWER Scaling 62

63 Phase 3 Third Age of Scaling (3D Power Scaling) 63

64 IC Industry at a Glance (2021->203X) Driver Cost/transistor & power reduction How 2x Density/2 years (Moore) Method 3D Power Scaling (ITRS2.0) 64

65 The Different Ages of Scaling (Different methods for different times) 1 Geometrical Scaling ( ) 1 Reduction of horizontal and vertical physical dimensions in conjunction with improved performance of planar transistors 2 Equivalent Scaling (2003~2021) Reduction of only horizontal dimensions in conjunction with introduction of new materials and new physical effects. New vertical structures replace the planar transistor 3D Power Scaling (2021~203X) Transition to complete vertical device structures. Heterogeneous integration in conjunction with reduced power consumption become the technology drivers 65

66 Beyond 2020 O P S Y S T E M Customized Functionality Outside System Connectivity System Integration Heterogeneous Integration More than Moore A P P L E T S More Moore Beyond Moore ITRS

67 21th Anniversary of TRS 1991 Micro Tech 2000 Workshop Report NTRS 1994NTRS 1997NTRS Europe Japan Korea Taiwan USA 1998 ITRS Update 1999 ITRS 2000 ITRS Update 2001 ITRS 2002 ITRS Update 2003 ITRS 2004 ITRS 2006 ITRS Update 2005 ITRS Update 2007 ITRS 2008 ITRS Update 2009 ITRS 2010 ITRS Update 2011 ITRS 2012 ITRS Update 2013 ITRS 67

68 From ITRS to ITRS 2.0 Beyond 2020 Beyond 2020 O P S Y S T E M Customized Functionality Outside System Connectivity System Integration Themes A P P L E T S April 2014 Focus Teams System Integration System Integration Outside System Connectivity Outside System Connectivity Heterogeneous Integration Heterogeneous Integration More than Moore Heterogeneous Components Beyond Moore Beyond CMOS More Moore More Moore Manufacturing Factory Integration Heterogeneous Integration More than Moore More Moore Beyond Moore ITRS 2012 Dec 2015 RC4 2 Dec 2015 RC4 3 April

69 ITRS

70 70

71 Q: How do we get back to exponential performance scaling? IEEE Rebooting Computing Initiative 71

72 72

73

74 74

75

76 76

77 NAND Flash Year of Production D NAND Flash uncontacted poly 1/2 pitch F (nm) D NAND minimum array 1/2 pitch - F(nm) 80nm 80nm 80nm 80nm 80nm 80nm 80nm Number of word lines in one 3D NAND string Dominant Cell type (FG, CT, 3D, etc.) FG/CT/3D FG/CT/3D FG/CT/3D FG/CT/3D FG/CT/3D FG/CT/3D FG/CT/3D Product highest density (2D or 3D) 256G 384G 768G 1T 1.5T 3T 4T 3D NAND number of memory layers Maximum number of bits per cell for 2D NAND Maximum number of bits per cell for 3D NAND

78 DRAM TECHNOLOGY YEAR OF PRODUCTION Half Pitch (Calculated Half pitch) (nm) DRAM cell size (µm 2 ) DRAM cell FET structure RCAT+Fi n RCAT+Fin VCT VCT VCT VCT VCT Cell Size Factor: a Array Area Efficiency V int (support FET voltage) [V] Support min. V tn (25C, G m,max, V d =55mV) Minimum DRAM retention time (ms) DRAM soft error rate (fits) Gb/1chip target 8G 8G 16G 16G 32G 32G 32G 78

79 79

80 80

81 Conclusions Geometrical Scaling led the IC Industry for 3 decades ITRS 1.0 Cooperative and distributed research and manufacturing methods highlighted by ITRS emerged as cost effective means of reducing costs since the mid-90s FCRP, NRI, Sematech, IMEC and Government organizations actively cooperated in advanced research Equivalent Scaling saved the Semiconductor Industry since the beginning of the previous decade Preliminary evaluation of post-cmos candidates published in 2010 ITRS 2.0 3D Power Scaling is the next phase of (accelerated) scaling Post CMOS devices and emerging architectures are being jointly evaluated->itrs/ieee RC->IRDS 81

Roadmap Benefits Past, Present and Future

Roadmap Benefits Past, Present and Future Roadmap Benefits Past, Present and Future Paolo Gargini Chairman ITRS2.0 Fellow IEEE, Fellow I-JSAP Intel Fellow (1995-2012) 1 Second Update of Moore s Law Log 2 of the number of components per integrated

More information

ITRS Past, Present and Future

ITRS Past, Present and Future ITRS Past, Present and Future Paolo Gargini Chairman ITRS Fellow IEEE, Fellow I-JSAPI 1 Agenda In the beginning Geometrical Scaling ITRS 1.0 Equivalent Scaling Post CMOS ITRS 2.0 3D Power Scaling Heterogeneous

More information

International Technology Roadmap for Semiconductors

International Technology Roadmap for Semiconductors International Technology Roadmap for Semiconductors 2007 ITRS ORTC [12/5 Makuhari Japan ITRS Public Conference] A.Allan, Rev 0.0 (For IRC Review) 10/29/07 1 Agenda Moore s Law and More Definitions Technology

More information

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow Moore s Law: Alive and Well Mark Bohr Intel Senior Fellow Intel Scaling Trend 10 10000 1 1000 Micron 0.1 100 nm 0.01 22 nm 14 nm 10 nm 10 0.001 1 1970 1980 1990 2000 2010 2020 2030 Intel Scaling Trend

More information

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape Edition April 2017 Semiconductor technology & processing 3D systems-on-chip A clever partitioning of circuits to improve area, cost, power and performance. In recent years, the technology of 3D integration

More information

Nanoelectronics Research Initiative A Partnership for Exploratory Research

Nanoelectronics Research Initiative A Partnership for Exploratory Research 2003-2009 CBAN, NRI Nanoelectronics Research Initiative A Partnership for Exploratory Research Mike Roco National Science Foundation and National Nanotechnology initiative U.S.-Korea Annual Meeting, April

More information

Moore s s Law, 40 years and Counting

Moore s s Law, 40 years and Counting Moore s s Law, 40 years and Counting Future Directions of Silicon and Packaging Bill Holt General Manager Technology and Manufacturing Group Intel Corporation InterPACK 05 2005 Heat Transfer Conference

More information

technology Leadership

technology Leadership technology Leadership MARK BOHR INTEL SENIOR FELLOW, TECHNOLOGY AND MANUFACTURING GROUP DIRECTOR, PROCESS ARCHITECTURE AND INTEGRATION SEPTEMBER 19, 2017 Legal Disclaimer DISCLOSURES China Tech and Manufacturing

More information

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends EE4 - Spring 008 Advanced Digital Integrated Circuits Lecture : Scaling Trends Announcements No office hour next Monday Extra office hours Tuesday and Thursday -3pm CMOS Scaling Rules Voltage, V / α tox/α

More information

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN 1 Introduction The evolution of integrated circuit (IC) fabrication techniques is a unique fact in the history of modern industry. The improvements in terms of speed, density and cost have kept constant

More information

EE586 VLSI Design. Partha Pande School of EECS Washington State University

EE586 VLSI Design. Partha Pande School of EECS Washington State University EE586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 1 (Introduction) Why is designing digital ICs different today than it was before? Will it change in

More information

Continuing Moore s law

Continuing Moore s law Continuing Moore s law MARK BOHR INTEL SENIOR FELLOW, TECHNOLOGY AND MANUFACTURING GROUP DIRECTOR, PROCESS ARCHITECTURE AND INTEGRATION SEPTEMBER 19, 2017 Legal Disclaimer DISCLOSURES China Tech and Manufacturing

More information

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends EE24 - Spring 2008 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends Announcements No office hour next Monday Extra office hours Tuesday and Thursday 2-3pm 2 CMOS Scaling Rules Voltage, V

More information

Integrated Circuit Fabrication

Integrated Circuit Fabrication Integrated Circuit Fabrication Professor Dean Neikirk Department of Electrical and Computer Engineering The University of Texas at Austin world wide web: http://weewave.mer.utexas.edu Integrated circuits

More information

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation Dr. Li Li Distinguished Engineer June 28, 2016 Outline Evolution of Internet The Promise of Internet

More information

Non-contact Test at Advanced Process Nodes

Non-contact Test at Advanced Process Nodes Chris Sellathamby, J. Hintzke, B. Moore, S. Slupsky Scanimetrics Inc. Non-contact Test at Advanced Process Nodes June 8-11, 8 2008 San Diego, CA USA Overview Advanced CMOS nodes are a challenge for wafer

More information

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Practical Information

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Practical Information EE24 - Spring 2000 Advanced Digital Integrated Circuits Tu-Th 2:00 3:30pm 203 McLaughlin Practical Information Instructor: Borivoje Nikolic 570 Cory Hall, 3-9297, bora@eecs.berkeley.edu Office hours: TuTh

More information

Embedded 28-nm Charge-Trap NVM Technology

Embedded 28-nm Charge-Trap NVM Technology Embedded 28-nm Charge-Trap NVM Technology Igor Kouznetsov Santa Clara, CA 1 Outline Embedded NVM applications Charge-trap NVM at Cypress Scaling Key Flash macro specs 28-nm Flash memory reliability Conclusions

More information

Il pensiero parallelo: Una storia di innovazione aziendale

Il pensiero parallelo: Una storia di innovazione aziendale Il pensiero parallelo: Una storia di innovazione aziendale Maria Teresa Gatti Scienzazienda Trento, 8 Maggio 2006 Overview ST is one of the largest Worldwide Semiconductors provider, with products ranging

More information

Toshiba America Electronic Components, Inc. Flash Memory

Toshiba America Electronic Components, Inc. Flash Memory Toshiba America Electronic Components, Inc. Flash Memory Fact Sheet Company Overview Combining quality and flexibility with design engineering expertise, TAEC brings a breadth of advanced, next-generation

More information

Risk Factors. Rev. 4/19/11

Risk Factors. Rev. 4/19/11 Risk Factors Today s presentations contain forward-looking statements. All statements made that are not historical facts are subject to a number of risks and uncertainties, and actual results may differ

More information

Technology and Manufacturing

Technology and Manufacturing Technology and Manufacturing Executive Vice President Field Trip 2006 - London, May 23rd Field Trip 2006 - London, May 23rd Technology Technology Development Centers and Main Programs CMOS Logic Platform

More information

Introduction. Summary. Why computer architecture? Technology trends Cost issues

Introduction. Summary. Why computer architecture? Technology trends Cost issues Introduction 1 Summary Why computer architecture? Technology trends Cost issues 2 1 Computer architecture? Computer Architecture refers to the attributes of a system visible to a programmer (that have

More information

NAND Flash Memory: Basics, Key Scaling Challenges and Future Outlook. Pranav Kalavade Intel Corporation

NAND Flash Memory: Basics, Key Scaling Challenges and Future Outlook. Pranav Kalavade Intel Corporation NAND Flash Memory: Basics, Key Scaling Challenges and Future Outlook Pranav Kalavade Intel Corporation pranav.kalavade@intel.com October 2012 Outline Flash Memory Product Trends Flash Memory Device Primer

More information

Common Platform Ecosystem Enablement

Common Platform Ecosystem Enablement Joe Abler Common Platform Ecosystem Enablement IBM provides a complete Foundry solution Innovative technology Leadership road map with advanced SiGe & RF offerings Leading-edge CMOS process development

More information

E40M. MOS Transistors, CMOS Logic Circuits, and Cheap, Powerful Computers. M. Horowitz, J. Plummer, R. Howe 1

E40M. MOS Transistors, CMOS Logic Circuits, and Cheap, Powerful Computers. M. Horowitz, J. Plummer, R. Howe 1 E40M MOS Transistors, CMOS Logic Circuits, and Cheap, Powerful Computers M. Horowitz, J. Plummer, R. Howe 1 Reading Chapter 4 in the reader For more details look at A&L 5.1 Digital Signals (goes in much

More information

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group I N V E N T I V E DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group Moore s Law & More : Tall And Thin More than Moore: Diversification Moore s

More information

Computer Architecture

Computer Architecture Informatics 3 Computer Architecture Dr. Boris Grot and Dr. Vijay Nagarajan Institute for Computing Systems Architecture, School of Informatics University of Edinburgh General Information Instructors: Boris

More information

Multi-Core Microprocessor Chips: Motivation & Challenges

Multi-Core Microprocessor Chips: Motivation & Challenges Multi-Core Microprocessor Chips: Motivation & Challenges Dileep Bhandarkar, Ph. D. Architect at Large DEG Architecture & Planning Digital Enterprise Group Intel Corporation October 2005 Copyright 2005

More information

EE241 - Spring 2004 Advanced Digital Integrated Circuits

EE241 - Spring 2004 Advanced Digital Integrated Circuits EE24 - Spring 2004 Advanced Digital Integrated Circuits Borivoje Nikolić Lecture 2 Impact of Scaling Class Material Last lecture Class scope, organization Today s lecture Impact of scaling 2 Major Roadblocks.

More information

ARCHIVE 2008 COPYRIGHT NOTICE

ARCHIVE 2008 COPYRIGHT NOTICE Keynote Speaker ARCHIVE 2008 Packaging & Assembly in Pursuit of Moore s Law and Beyond Karl Johnson Ph.D. Vice President and Senior Fellow Advanced Packaging Systems Integration Laboratory Freescale Semiconductor

More information

CMOS TECHNOLOGY- Chapter 2 in the Text

CMOS TECHNOLOGY- Chapter 2 in the Text CMOS TECHOLOGY- Chapter 2 in the Text CMOS Technology- Chapter 2 We will describe a modern CMOS process flow. In the simplest CMOS technologies, we need to realize simply MOS and MOS transistors for circuits

More information

More Course Information

More Course Information More Course Information Labs and lectures are both important Labs: cover more on hands-on design/tool/flow issues Lectures: important in terms of basic concepts and fundamentals Do well in labs Do well

More information

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration 1 EECS 598: Integrating Emerging Technologies with Computer Architecture Lecture 10: Three-Dimensional (3D) Integration Instructor: Ron Dreslinski Winter 2016 University of Michigan 1 1 1 Announcements

More information

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D,

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D, WLSI Extends Si Processing and Supports Moore s Law Douglas Yu TSMC R&D, chyu@tsmc.com SiP Summit, Semicon Taiwan, Taipei, Taiwan, Sep. 9 th, 2016 Introduction Moore s Law Challenges Heterogeneous Integration

More information

Burn-in & Test Socket Workshop

Burn-in & Test Socket Workshop Burn-in & Test Socket Workshop IEEE March 4-7, 2001 Hilton Mesa Pavilion Hotel Mesa, Arizona IEEE COMPUTER SOCIETY Sponsored By The IEEE Computer Society Test Technology Technical Council COPYRIGHT NOTICE

More information

The Evolving Semiconductor Technology Landscape and What it Means for Lithography. Scotten W. Jones President IC Knowledge LLC

The Evolving Semiconductor Technology Landscape and What it Means for Lithography. Scotten W. Jones President IC Knowledge LLC The Evolving Semiconductor Technology Landscape and What it Means for Lithography Scotten W. Jones President IC Knowledge LLC Outline NAND DRAM Logic Conclusion 2 NAND Linewidth Trend 2D to 3D For approximately

More information

Process Technologies for SOCs

Process Technologies for SOCs UDC 621.3.049.771.14.006.1 Process Technologies for SOCs VTaiji Ema (Manuscript received November 30, 1999) This paper introduces a family of process technologies for fabriating high-performance SOCs.

More information

Ultra-thin Capacitors for Enabling Miniaturized IoT Applications

Ultra-thin Capacitors for Enabling Miniaturized IoT Applications Ultra-thin Capacitors for Enabling Miniaturized IoT Applications Fraunhofer Demo Day, Oct 8 th, 2015 Konrad Seidel, Fraunhofer IPMS-CNT 10/15/2015 1 CONTENT Why we need thin passive devices? Integration

More information

Soitec ultra-thin SOI substrates enabling FD-SOI technology. July, 2015

Soitec ultra-thin SOI substrates enabling FD-SOI technology. July, 2015 Soitec ultra-thin SOI substrates enabling FD-SOI technology July, 2015 Agenda FD-SOI: Background & Value Proposition C1- Restricted July 8, 2015 2 Today Ultra-mobile & Connected Consumer At Any Time With

More information

CMOS Scaling for the Next Decade and Emerging Technologies: SEMATECH Perspective. Accelerating the next technology revolution

CMOS Scaling for the Next Decade and Emerging Technologies: SEMATECH Perspective. Accelerating the next technology revolution US Korea NanoForum April 2009 Accelerating the next technology revolution CMOS Scaling for the Next Decade and Emerging Technologies: SEMATECH Perspective Prashant Majhi Front End Processes, SEMATECH Copyright

More information

Cutting Power Consumption in HDD Electronics. Duncan Furness Senior Product Manager

Cutting Power Consumption in HDD Electronics. Duncan Furness Senior Product Manager Cutting Power Consumption in HDD Electronics Duncan Furness Senior Product Manager Situation Overview The industry continues to drive to lower power solutions Driven by: Need for higher reliability Extended

More information

Advanced Information Storage 11

Advanced Information Storage 11 Advanced Information Storage 11 Atsufumi Hirohata Department of Electronics 16:00 11/November/2013 Monday (P/L 002) Quick Review over the Last Lecture Shingled write recording : * Bit patterned media (BPM)

More information

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 1: Introduction to VLSI Technology Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Course Objectives

More information

Information Storage and Spintronics 10

Information Storage and Spintronics 10 Information Storage and Spintronics 10 Atsufumi Hirohata Department of Electronic Engineering 09:00 Tuesday, 30/October/2018 (J/Q 004) Quick Review over the Last Lecture Flash memory : NAND-flash writing

More information

OTP & MTP/FRP Non-Volatile Memory IP for Standard Logic CMOS

OTP & MTP/FRP Non-Volatile Memory IP for Standard Logic CMOS OTP & MTP/FRP Non-Volatile Memory IP for Standard Logic CMOS NSCore, Inc. http://www.nscore.com/ Outlines 1. Corporate Overview 2. Program, Read & Erase Mechanism 3. OTP IP Lineups 4. New MTP Technologies

More information

Introduction to ICs and Transistor Fundamentals

Introduction to ICs and Transistor Fundamentals Introduction to ICs and Transistor Fundamentals A Brief History 1958: First integrated circuit Flip-flop using two transistors Built by Jack Kilby at Texas Instruments 2003 Intel Pentium 4 mprocessor (55

More information

Computer Architecture

Computer Architecture Informatics 3 Computer Architecture Dr. Vijay Nagarajan Institute for Computing Systems Architecture, School of Informatics University of Edinburgh (thanks to Prof. Nigel Topham) General Information Instructor

More information

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture 01 Introduction Welcome to the course on Hardware

More information

inemi Roadmap Packaging and Component Substrates TWG

inemi Roadmap Packaging and Component Substrates TWG inemi Roadmap Packaging and Component Substrates TWG TWG Leaders: W. R. Bottoms William Chen Presented by M. Tsuriya Agenda Situation Everywhere in Electronics Evolution & Blooming Drivers Changing inemi

More information

CircuitsMulti-Projets

CircuitsMulti-Projets From layout to chips CircuitsMulti-Projets MPW Services Center for ICs, Photonics & MEMS Prototyping & Low Volume Production mycmp.fr Grenoble - France From layout to chips STMicroelectronics Standard

More information

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Digital Integrated Circuits A Design Perspective Jan M. Rabaey Outline (approximate) Introduction and Motivation The VLSI Design Process Details of the MOS Transistor Device Fabrication Design Rules CMOS

More information

When it comes to double-density Flash memory, some pairs are just better.

When it comes to double-density Flash memory, some pairs are just better. MirrorBit Flash When it comes to double-density Flash memory, some pairs are just better. AMD pairs high-performance with reliability in a single Flash memory cell, with revolutionary results. Introducing

More information

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon Agenda Introduction 2,5D: Silicon Interposer 3DIC: Wide I/O Memory-On-Logic 3D Packaging: X-Ray sensor Conclusion

More information

Product Embedded Information Devices for Labeling & Sensing

Product Embedded Information Devices for Labeling & Sensing Product Embedded Information Devices for Labeling & Sensing Opportunities and Challenges Guido Stromberg Infineon Technologies AG Automotive, Industrial and Multimarkets -Sense & Control Software and Systems

More information

Vertical Circuits. Small Footprint Stacked Die Package and HVM Supply Chain Readiness. November 10, Marc Robinson Vertical Circuits, Inc

Vertical Circuits. Small Footprint Stacked Die Package and HVM Supply Chain Readiness. November 10, Marc Robinson Vertical Circuits, Inc Small Footprint Stacked Die Package and HVM Supply Chain Readiness Marc Robinson Vertical Circuits, Inc November 10, 2011 Vertical Circuits Building Blocks for 3D Interconnects Infrastructure Readiness

More information

Intel Corporation Silicon Technology Review

Intel Corporation Silicon Technology Review Intel Corporation Silicon Technology Review Ken David Director, Components Research SEMI Strategic Business Conference April 2003 Agenda Corporate Mission Leadership in Technology Leadership in Integration

More information

Advanced 1 Transistor DRAM Cells

Advanced 1 Transistor DRAM Cells Trench DRAM Cell Bitline Wordline n+ - Si SiO 2 Polysilicon p-si Depletion Zone Inversion at SiO 2 /Si Interface [IC1] Address Transistor Memory Capacitor SoC - Memory - 18 Advanced 1 Transistor DRAM Cells

More information

CMPEN 411 VLSI Digital Circuits. Lecture 01: Introduction

CMPEN 411 VLSI Digital Circuits. Lecture 01: Introduction CMPEN 411 VLSI Digital Circuits Kyusun Choi Lecture 01: Introduction CMPEN 411 Course Website link at: http://www.cse.psu.edu/~kyusun/teach/teach.html [Adapted from Rabaey s Digital Integrated Circuits,

More information

Challenges for Non Volatile Memory (NVM) for Automotive High Temperature Operating Conditions Alexander Muffler

Challenges for Non Volatile Memory (NVM) for Automotive High Temperature Operating Conditions Alexander Muffler Challenges for Non Volatile Memory (NVM) for Automotive High Temperature Operating Conditions Alexander Muffler Product Marketing Manager Automotive, X-FAB Outline Introduction NVM Technology & Design

More information

Chapter 0 Introduction

Chapter 0 Introduction Chapter 0 Introduction Jin-Fu Li Laboratory Department of Electrical Engineering National Central University Jhongli, Taiwan Applications of ICs Consumer Electronics Automotive Electronics Green Power

More information

Next-Generation Electronic Packaging: Trend & Materials Challenges. Lai Group R&D ASE

Next-Generation Electronic Packaging: Trend & Materials Challenges. Lai Group R&D ASE Next-Generation Electronic Packaging: Trend & Materials Challenges Yi-Shao Lai Group R&D ASE Jun 26, 2010 Evolution & Growth of Electronics 2 Evolution of Electronic Products Audion Tube (1906) Transistor

More information

Beyond Moore. Beyond Programmable Logic.

Beyond Moore. Beyond Programmable Logic. Beyond Moore Beyond Programmable Logic Steve Trimberger Xilinx Research FPL 30 August 2012 Beyond Moore Beyond Programmable Logic Agenda What is happening in semiconductor technology? Moore s Law More

More information

Computer Architecture!

Computer Architecture! Informatics 3 Computer Architecture! Dr. Vijay Nagarajan and Prof. Nigel Topham! Institute for Computing Systems Architecture, School of Informatics! University of Edinburgh! General Information! Instructors

More information

Pushing 193i lithography by Joint optimization of Layout and Lithography

Pushing 193i lithography by Joint optimization of Layout and Lithography Pushing 193i lithography by Joint optimization of Layout and Lithography Peter De Bisschop Imec, Leuven, Belgium Semicon Europe Messe Dresden, Germany Lithography session October 12, 2011 Semiconductor-Industry

More information

SOI Technology: IBM s Next Advance In Chip Design

SOI Technology: IBM s Next Advance In Chip Design SOI Technology: IBM s Next Advance In Chip Design I- Introduction As with IBM s leadership manufacturing microchips using copper interconnect technology, the company has now announced what it believes

More information

ECE 261: Full Custom VLSI Design

ECE 261: Full Custom VLSI Design ECE 261: Full Custom VLSI Design Prof. James Morizio Dept. Electrical and Computer Engineering Hudson Hall Ph: 201-7759 E-mail: jmorizio@ee.duke.edu URL: http://www.ee.duke.edu/~jmorizio Course URL: http://www.ee.duke.edu/~jmorizio/ece261/261.html

More information

Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions

Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions 2013 TSMC, Ltd Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions 2 Agenda Lifestyle Trends Drive Product Requirements Concurrent Technology and Design Development FinFET Design Challenges

More information

2009 International Solid-State Circuits Conference Intel Paper Highlights

2009 International Solid-State Circuits Conference Intel Paper Highlights 2009 International Solid-State Circuits Conference Intel Paper Highlights Mark Bohr Intel Senior Fellow Soumyanath Krishnamurthy Intel Fellow 1 2009 ISSCC Intel Paper Summary Under embargo until February,

More information

What is this class all about?

What is this class all about? EE141-Fall 2012 Digital Integrated Circuits Instructor: Elad Alon TuTh 11-12:30pm 247 Cory 1 What is this class all about? Introduction to digital integrated circuit design engineering Will describe models

More information

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE.

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE. Memory Design I Professor Chris H. Kim University of Minnesota Dept. of ECE chriskim@ece.umn.edu Array-Structured Memory Architecture 2 1 Semiconductor Memory Classification Read-Write Wi Memory Non-Volatile

More information

A 50% Lower Power ARM Cortex CPU using DDC Technology with Body Bias. David Kidd August 26, 2013

A 50% Lower Power ARM Cortex CPU using DDC Technology with Body Bias. David Kidd August 26, 2013 A 50% Lower Power ARM Cortex CPU using DDC Technology with Body Bias David Kidd August 26, 2013 1 HOTCHIPS 2013 Copyright 2013 SuVolta, Inc. All rights reserved. Agenda DDC transistor and PowerShrink platform

More information

Samsung System LSI Business

Samsung System LSI Business Samsung System LSI Business NS (Stephen) Woo, Ph.D. President & GM of System LSI Samsung Electronics 0/32 Disclaimer The materials in this report include forward-looking statements which can generally

More information

Lecture Outline. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Course Topics Overview. Learning Objectives.

Lecture Outline. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Course Topics Overview. Learning Objectives. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 1: January 17, 2019 Introduction and Overview Lecture Outline Course Topics Overview Learning Objectives Course Structure Course Policies

More information

TABLE OF CONTENTS III. Section 1. Executive Summary

TABLE OF CONTENTS III. Section 1. Executive Summary Section 1. Executive Summary... 1-1 Section 2. Global IC Industry Outlook and Cycles... 2-1 IC Insights' Forecast Methodology... 2-1 Overview... 2-1 Worldwide GDP... 2-1 Electronic System Sales... 2-2

More information

Microelettronica. J. M. Rabaey, "Digital integrated circuits: a design perspective" EE141 Microelettronica

Microelettronica. J. M. Rabaey, Digital integrated circuits: a design perspective EE141 Microelettronica Microelettronica J. M. Rabaey, "Digital integrated circuits: a design perspective" Introduction Why is designing digital ICs different today than it was before? Will it change in future? The First Computer

More information

Gigascale Integration Design Challenges & Opportunities. Shekhar Borkar Circuit Research, Intel Labs October 24, 2004

Gigascale Integration Design Challenges & Opportunities. Shekhar Borkar Circuit Research, Intel Labs October 24, 2004 Gigascale Integration Design Challenges & Opportunities Shekhar Borkar Circuit Research, Intel Labs October 24, 2004 Outline CMOS technology challenges Technology, circuit and μarchitecture solutions Integration

More information

Programming Characteristics on Three-Dimensional NAND Flash Structure Using Edge Fringing Field Effect

Programming Characteristics on Three-Dimensional NAND Flash Structure Using Edge Fringing Field Effect JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.5, OCTOBER, 2014 http://dx.doi.org/10.5573/jsts.2014.14.5.537 Programming Characteristics on Three-Dimensional NAND Flash Structure Using Edge

More information

What is this class all about?

What is this class all about? EE141-Fall 2007 Digital Integrated Circuits Instructor: Elad Alon TuTh 3:30-5pm 155 Donner 1 1 What is this class all about? Introduction to digital integrated circuit design engineering Will describe

More information

FinFET Technology Understanding and Productizing a New Transistor A joint whitepaper from TSMC and Synopsys

FinFET Technology Understanding and Productizing a New Transistor A joint whitepaper from TSMC and Synopsys White Paper FinFET Technology Understanding and Productizing a New Transistor A joint whitepaper from TSMC and Synopsys April, 2013 Authors Andy Biddle Galaxy Platform Marketing, Synopsys Inc. Jason S.T.

More information

What is this class all about?

What is this class all about? -Fall 2004 Digital Integrated Circuits Instructor: Borivoje Nikolić TuTh 3:30-5 247 Cory EECS141 1 What is this class all about? Introduction to digital integrated circuits. CMOS devices and manufacturing

More information

GEORGE AND ANGELIKI PERLEGOS CHARITABLE TRUST

GEORGE AND ANGELIKI PERLEGOS CHARITABLE TRUST GEORGE AND ANGELIKI PERLEGOS CHARITABLE TRUST Contacts Nancy B. Green John Bryant The William Baldwin Group George and Angeliki Perlegos Charitable Trust +1 650 856 6192 +1 408 857 7312 nbgreen@william-baldwin.com

More information

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February ISSN

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February ISSN International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February-2014 938 LOW POWER SRAM ARCHITECTURE AT DEEP SUBMICRON CMOS TECHNOLOGY T.SANKARARAO STUDENT OF GITAS, S.SEKHAR DILEEP

More information

Advanced Flash Technology Status, Scaling Trends & Implications to Enterprise SSD Technology Enablement

Advanced Flash Technology Status, Scaling Trends & Implications to Enterprise SSD Technology Enablement Advanced Flash Technology Status, Scaling Trends & Implications to Enterprise SSD Technology Enablement Jung H. Yoon & Gary A. Tressler IBM Corporation Aug 21, 2012 Santa Clara, CA 1 Outline Si Technology

More information

CMPEN 411. Spring Lecture 01: Introduction

CMPEN 411. Spring Lecture 01: Introduction Kyusun Choi CMPEN 411 VLSI Digital Circuits Spring 2009 Lecture 01: Introduction Course Website: http://www.cse.psu.edu/~kyusun/class/cmpen411/09s/index.html [Adapted from Rabaey s Digital Integrated Circuits,

More information

Three DIMENSIONAL-CHIPS

Three DIMENSIONAL-CHIPS IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) ISSN: 2278-2834, ISBN: 2278-8735. Volume 3, Issue 4 (Sep-Oct. 2012), PP 22-27 Three DIMENSIONAL-CHIPS 1 Kumar.Keshamoni, 2 Mr. M. Harikrishna

More information

Design and Technology Trends

Design and Technology Trends Lecture 1 Design and Technology Trends R. Saleh Dept. of ECE University of British Columbia res@ece.ubc.ca 1 Recently Designed Chips Itanium chip (Intel), 2B tx, 700mm 2, 8 layer 65nm CMOS (4 processors)

More information

E 4.20 Introduction to Digital Integrated Circuit Design

E 4.20 Introduction to Digital Integrated Circuit Design E 4.20 Introduction to Digital Integrated Circuit Design Peter Cheung Department of Electrical & Electronic Engineering Imperial College London URL: www.ee.ic.ac.uk/pcheung/ E-mail: p.cheung@imperial.ac.uk

More information

Design Method of Stacked Type MRAM. with NAND Structured Cell

Design Method of Stacked Type MRAM. with NAND Structured Cell Contemporary Engineering Sciences, Vol. 6, 2013, no. 2, 69-86 HIKARI Ltd, www.m-hikari.com Design Method of Stacked Type MRAM with NAND Structured Cell Shoto Tamai Oi Electric Co. LTd. Kohoku-ku, Yokohama,

More information

Analyzing the Disruptive Impact of a Silicon Compiler

Analyzing the Disruptive Impact of a Silicon Compiler THE ELECTRONICS RESURGENCE INITIATIVE Analyzing the Disruptive Impact of a Silicon Compiler Andreas Olofsson 1947 Source: Wikipedia, Computer Museum 2017 Source: AMD Defense Advanced Research Project Agency

More information

CHAPTER 3 SIMULATION TOOLS AND

CHAPTER 3 SIMULATION TOOLS AND CHAPTER 3 SIMULATION TOOLS AND Simulation tools used in this simulation project come mainly from Integrated Systems Engineering (ISE) and SYNOPSYS and are employed in different areas of study in the simulation

More information

CAD for VLSI. Debdeep Mukhopadhyay IIT Madras

CAD for VLSI. Debdeep Mukhopadhyay IIT Madras CAD for VLSI Debdeep Mukhopadhyay IIT Madras Tentative Syllabus Overall perspective of VLSI Design MOS switch and CMOS, MOS based logic design, the CMOS logic styles, Pass Transistors Introduction to Verilog

More information

MRAM, XPoint, ReRAM PM Fuel to Propel Tomorrow s Computing Advances

MRAM, XPoint, ReRAM PM Fuel to Propel Tomorrow s Computing Advances MRAM, XPoint, ReRAM PM Fuel to Propel Tomorrow s Computing Advances Jim Handy Objective Analysis Tom Coughlin Coughlin Associates The Market is at a Nexus PM 2 Emerging Memory Technologies MRAM: Magnetic

More information

SEMI's Outlook - Fab Investments, Equipment and Materials Forecasts

SEMI's Outlook - Fab Investments, Equipment and Materials Forecasts SEMI's Outlook - Fab Investments, Equipment and Materials Forecasts SEMICON Korea Press Conference January 2018 Dan Tracy, Sr. Director SEMI Industry Research & Statistics Agenda 2017 Wrap Up 2018 Semiconductor

More information

Data Retention in MLC NAND Flash Memory: Characterization, Optimization, and Recovery

Data Retention in MLC NAND Flash Memory: Characterization, Optimization, and Recovery Data Retention in MLC NAND Flash Memory: Characterization, Optimization, and Recovery Yu Cai, Yixin Luo, Erich F. Haratsch*, Ken Mai, Onur Mutlu Carnegie Mellon University, *LSI Corporation 1 Many use

More information

Archive 2017 BiTS Workshop- Image: Easyturn/iStock

Archive 2017 BiTS Workshop- Image: Easyturn/iStock Archive September 6-7, 2017 InterContinental Shanghai Pudong Hotel - Shanghai, China Archive 2017 BiTS Workshop- Image: Easyturn/iStock September 6-7, 2017 Archive COPYRIGHT NOTICE This multimedia file

More information

Panasonic Mp, 4.4 µm Pixel Size LiveMOS Image Sensor from Panasonic LUMIX DMC-G1 Micro Four Thirds Digital Interchangeable Lens Camera

Panasonic Mp, 4.4 µm Pixel Size LiveMOS Image Sensor from Panasonic LUMIX DMC-G1 Micro Four Thirds Digital Interchangeable Lens Camera Panasonic 34310 12.1 Mp, 4.4 µm Pixel Size LiveMOS Image Sensor from Panasonic LUMIX DMC-G1 Micro Four Thirds Digital Interchangeable Lens Camera For comments, questions, or more information about this

More information

VISUALIZING THE PACKAGING ROADMAP

VISUALIZING THE PACKAGING ROADMAP IEEE SCV EPS Chapter Meeting 3/13/2019 VISUALIZING THE PACKAGING ROADMAP IVOR BARBER CORPORATE VICE PRESIDENT, PACKAGING AMD IEEE EPS Lunchtime Presentation March 2019 1 2 2 www.cpmt.org/scv 3/27/2019

More information

Anatomy of a Post PC Device. Prof. S.E Thompson EEE4310/5322 Fall 2017

Anatomy of a Post PC Device. Prof. S.E Thompson EEE4310/5322 Fall 2017 Anatomy of a Post PC Device iphone 7 Samsung Galaxy S8 Prof. S.E Thompson EEE4310/5322 Fall 2017 Modern Computer or Mobile Computer? Samsung Galaxy S8 2 More than mobile computer - Robotics - Drones -

More information

Integrated circuits and fabrication

Integrated circuits and fabrication Integrated circuits and fabrication Motivation So far we have discussed about the various devices that are the heartbeat of core electronics. This modules aims at giving an overview of how these solid

More information