Model homogenization for power estimation and design exploration

Size: px
Start display at page:

Download "Model homogenization for power estimation and design exploration"

Transcription

1 + Rabie Ben Atitallah, Associate Professor Université de Lille Nord de France Université de Valenciennes, LAMIH INRIA Lille, DaRT team Model homogenization for power estimation and design exploration

2 + Introduction 2 n Intensive signal processing applications n Homogenous and heterogeneous multiprocessor architecture n Design space exploration n Design tools n Power consumption is becoming a critical pre-design metric n The main challenges: estimation accuracy/speed trade-off? n Which level? n Which methodology?

3 + Outline 3 n IP power model n Model homogenization and MDE n Example and results nconclusion

4 + Annotated power models 4 n Available IP description n Intrusive approach n IP modification n Counter s occurrence n ++ Accurate n ++ Interoperability n - - Interchangeability n Automize in the design process

5 + IP assembly based SoC design 5

6 + Power model IP 6 n Uses the communication interface n Non-intrusive approach n ++ Independent of the IP description n ++ Interchangeability n ++ Automize in the design process n + Interoperability Power model IP n + Accurate

7 + Power model IP 7 n For different type of components: n Hardware: Processors, Memory, I/O peripheral, Hardware accelerator, etc. n Software: OS, task, etc. n Generic power modeling methodology Multimedia embedded platforms n Functional Level Power Analysis (FLPA) n Reduced number of experiments n Accurate power models Power Model s Task Functional Level Power Analysis (FLPA) Power Model s OS Power Model s Interpolation of curves

8 + Examples (collaboration with LAB-STICC, UBS) 8 n XUP platform n OMAP 3530 n FPGA resources

9 + Model homogenization 9 n Unify the power model specification for all components n Specification language n Automatic instantiation and plug-in of power models on virtual platforms n Different abstraction levels: functional, transactional, cycleaccurate, etc. n Different system description languages: C/C++, SystemC, VHDL n Model Driven Engineering (MDE) n Model, Meta-model, and model transformation

10 + Power estimation integration in the 10 Gaspard2 framework.

11 + Consumption estimator 11

12 + Cycle-Accurate power estimation 12 n SystemC standalone power estimators n Power FSMs n Monitors the communications between the components

13 + Consumption estimator interface 13 meta-model

14 14 + Consumption estimator FSM metamodel

15 + Cycle-Accurate power estimation 15 n SystemC simulations at the CABA (Cycle-Accurate Bit- Accurate) level

16 + High-level modeling of power 16 estimators n Using Model-driven engineering power estimators are generated automatically

17 + Example of homogenous MPSoC 17

18 + Simulation results 18 n Design space exploration : JPEG application executed on a system with 1 up to 16 processors.

19 + Comparison with annotated power 19 models n Estimation error < 0,3 %

20 + Simulation time 20

21 + Conclusion 21 n Standalone power estimators n Unifying the specification of power models n Generated and plugged automatically using a model driven engineering (MDE) approach. n Accurate for simple HW components n To be extended for more complex architecture n Techniques for simulation speed-up

Early Power-aware Design Space Exploration for Embedded Systems: MPEG-2 Case Study

Early Power-aware Design Space Exploration for Embedded Systems: MPEG-2 Case Study Early Power-aware Design Space Exploration for Embedded Systems: MPEG-2 Case Study Feriel Ben Abdallah, Chiraz Trabelsi, Rabie Ben Atitallah and Mourad Abed Institut Mines-Telecom, Telecom ParisTech, France

More information

MARTE based design approach for targeting Reconfigurable Architectures

MARTE based design approach for targeting Reconfigurable Architectures MARTE based design approach for targeting Reconfigurable Architectures Imran Rafiq Quadri, Samy Meftali, Jean-Luc Dekeyser To cite this version: Imran Rafiq Quadri, Samy Meftali, Jean-Luc Dekeyser. MARTE

More information

MPSOC Design examples

MPSOC Design examples MPSOC 2007 Eshel Haritan, VP Engineering, Inc. 1 MPSOC Design examples Freescale: ARM1136 + StarCore140e Broadcom: ARM11 + ARM9 + TeakLite + accelerators Qualcomm 4 processors + video, gps, wireless, audio

More information

Outline. SLD challenges Platform Based Design (PBD) Leveraging state of the art CAD Metropolis. Case study: Wireless Sensor Network

Outline. SLD challenges Platform Based Design (PBD) Leveraging state of the art CAD Metropolis. Case study: Wireless Sensor Network By Alberto Puggelli Outline SLD challenges Platform Based Design (PBD) Case study: Wireless Sensor Network Leveraging state of the art CAD Metropolis Case study: JPEG Encoder SLD Challenge Establish a

More information

Modeling and Simulation of System-on. Platorms. Politecnico di Milano. Donatella Sciuto. Piazza Leonardo da Vinci 32, 20131, Milano

Modeling and Simulation of System-on. Platorms. Politecnico di Milano. Donatella Sciuto. Piazza Leonardo da Vinci 32, 20131, Milano Modeling and Simulation of System-on on-chip Platorms Donatella Sciuto 10/01/2007 Politecnico di Milano Dipartimento di Elettronica e Informazione Piazza Leonardo da Vinci 32, 20131, Milano Key SoC Market

More information

An Embedded Multi-Sensor Data Fusion Design for Vehicle Perception Tasks

An Embedded Multi-Sensor Data Fusion Design for Vehicle Perception Tasks An Embedded Multi-Sensor Data Fusion Design for Vehicle Perception Tasks Mokhtar Bouain 1,2, Karim M. A. Ali 1, Denis Berdjag 1, Nizar Fakhfakh 2 and Rabie Ben Atitallah 1 1 Univ. Valenciennes, CNRS, UMR

More information

MARTE based modeling approach for Partial Dynamic Reconfigurable FPGAs

MARTE based modeling approach for Partial Dynamic Reconfigurable FPGAs MARTE based modeling approach for Partial Dynamic Reconfigurable FPGAs Imran Rafiq Quadri, Samy Meftali, Jean-Luc Dekeyser To cite this version: Imran Rafiq Quadri, Samy Meftali, Jean-Luc Dekeyser. MARTE

More information

Key technologies for many core architectures

Key technologies for many core architectures Key technologies for many core architectures Thierry Collette CEA, LIST thierry.collette@c ea.fr 1 Embedded computing Silicon area offers perfo rmance Applications x 40 from 90 to 45 ns Computing performance

More information

Co-Design of Many-Accelerator Heterogeneous Systems Exploiting Virtual Platforms. SAMOS XIV July 14-17,

Co-Design of Many-Accelerator Heterogeneous Systems Exploiting Virtual Platforms. SAMOS XIV July 14-17, Co-Design of Many-Accelerator Heterogeneous Systems Exploiting Virtual Platforms SAMOS XIV July 14-17, 2014 1 Outline Introduction + Motivation Design requirements for many-accelerator SoCs Design problems

More information

Modeling of Configurations for Embedded System Implementations in MARTE

Modeling of Configurations for Embedded System Implementations in MARTE Modeling of Configurations for Embedded System Implementations in MARTE Imran Rafiq Quadri, Abdoulaye Gamatié, Pierre Boulet, Jean-Luc Dekeyser To cite this version: Imran Rafiq Quadri, Abdoulaye Gamatié,

More information

! "#$%&'()&*&+!,-.&/!0$%1'2%1-3!4&% #!8-/!4,"-9! :2$&6!,+2%8-/'$!

! #$%&'()&*&+!,-.&/!0$%1'2%1-3!4&% #!8-/!4,-9! :2$&6!,+2%8-/'$! !!!!!!!!!!! "#$%&'()&*&+!,-.&/!0$%1'2%1-3!4&%5-6-+-7#!8-/!4,"-9! :2$&6!,+2%8-/'$! "#! "23%5-$5!;

More information

Extending the Power of FPGAs

Extending the Power of FPGAs Extending the Power of FPGAs The Journey has Begun Salil Raje Xilinx Corporate Vice President Software and IP Products Development Agenda The Evolution of FPGAs and FPGA Programming IP-Centric Design with

More information

Model-based Analysis of Event-driven Distributed Real-time Embedded Systems

Model-based Analysis of Event-driven Distributed Real-time Embedded Systems Model-based Analysis of Event-driven Distributed Real-time Embedded Systems Gabor Madl Committee Chancellor s Professor Nikil Dutt (Chair) Professor Tony Givargis Professor Ian Harris University of California,

More information

System-on-Chip. 4l1 Springer. Embedded Software Design and Programming of Multiprocessor. Simulink and SystemC. Case Studies

System-on-Chip. 4l1 Springer. Embedded Software Design and Programming of Multiprocessor. Simulink and SystemC. Case Studies Katalin Popovici Frederic Rousseau Ahmed A. Jerraya Marilyn Wolf Embedded Software Design and Programming of Multiprocessor System-on-Chip Simulink and SystemC Case Studies 4l1 Springer Contents 1 Embedded

More information

EEL 5722C Field-Programmable Gate Array Design

EEL 5722C Field-Programmable Gate Array Design EEL 5722C Field-Programmable Gate Array Design Lecture 17: Describing Synthesizable RTL in SystemC* Prof. Mingjie Lin * 2001 Synopsys, Inc. 1 System-Level Design Specifying the system Verifying its functionality

More information

Energy Efficient Computing Systems (EECS) Magnus Jahre Coordinator, EECS

Energy Efficient Computing Systems (EECS) Magnus Jahre Coordinator, EECS Energy Efficient Computing Systems (EECS) Magnus Jahre Coordinator, EECS Who am I? Education Master of Technology, NTNU, 2007 PhD, NTNU, 2010. Title: «Managing Shared Resources in Chip Multiprocessor Memory

More information

Kermeta. in compiled mode

Kermeta. in compiled mode Kermeta in compiled mode Cyril Faucher IRISA Lab / INRIA Rennes, France Triskell Group Kermeta Day - April 2nd, 2009 1 Outline Motivation Compilation process: Kmt to Java/EMF plugin Need of a model to

More information

Design and Verification of FPGA Applications

Design and Verification of FPGA Applications Design and Verification of FPGA Applications Giuseppe Ridinò Paola Vallauri MathWorks giuseppe.ridino@mathworks.it paola.vallauri@mathworks.it Torino, 19 Maggio 2016, INAF 2016 The MathWorks, Inc. 1 Agenda

More information

An Efficient Power Estimation Methodology for Complex RISC Processor-based Platforms

An Efficient Power Estimation Methodology for Complex RISC Processor-based Platforms An Efficient Power Estimation Methodology for Complex RISC Processor-based Platforms Santhosh Kumar Rethinagiri, Rabie Ben Atitallah, Jean-Luc Dekeyser, Smail Niar, Eric Senn To cite this version: Santhosh

More information

Optimizing Cache Coherent Subsystem Architecture for Heterogeneous Multicore SoCs

Optimizing Cache Coherent Subsystem Architecture for Heterogeneous Multicore SoCs Optimizing Cache Coherent Subsystem Architecture for Heterogeneous Multicore SoCs Niu Feng Technical Specialist, ARM Tech Symposia 2016 Agenda Introduction Challenges: Optimizing cache coherent subsystem

More information

Automatic Instrumentation of Embedded Software for High Level Hardware/Software Co-Simulation

Automatic Instrumentation of Embedded Software for High Level Hardware/Software Co-Simulation Automatic Instrumentation of Embedded Software for High Level Hardware/Software Co-Simulation Aimen Bouchhima, Patrice Gerin and Frédéric Pétrot System-Level Synthesis Group TIMA Laboratory 46, Av Félix

More information

System Level Design with IBM PowerPC Models

System Level Design with IBM PowerPC Models September 2005 System Level Design with IBM PowerPC Models A view of system level design SLE-m3 The System-Level Challenges Verification escapes cost design success There is a 45% chance of committing

More information

Lab-STICC : Dominique BLOUIN Skander Turki Eric SENN Saâdia Dhouib 11/06/2009

Lab-STICC : Dominique BLOUIN Skander Turki Eric SENN Saâdia Dhouib 11/06/2009 Lab-STICC : Power Consumption Modelling with AADL Dominique BLOUIN Skander Turki Eric SENN Saâdia Dhouib 11/06/2009 Outline Context Review of power estimation methodologies and tools Functional Level Power

More information

Hardware Software Codesign of Embedded Systems

Hardware Software Codesign of Embedded Systems Hardware Software Codesign of Embedded Systems Rabi Mahapatra Texas A&M University Today s topics Course Organization Introduction to HS-CODES Codesign Motivation Some Issues on Codesign of Embedded System

More information

Platform-based Design

Platform-based Design Platform-based Design The New System Design Paradigm IEEE1394 Software Content CPU Core DSP Core Glue Logic Memory Hardware BlueTooth I/O Block-Based Design Memory Orthogonalization of concerns: the separation

More information

Design and Verification of FPGA and ASIC Applications Graham Reith MathWorks

Design and Verification of FPGA and ASIC Applications Graham Reith MathWorks Design and Verification of FPGA and ASIC Applications Graham Reith MathWorks 2014 The MathWorks, Inc. 1 Agenda -Based Design for FPGA and ASIC Generating HDL Code from MATLAB and Simulink For prototyping

More information

The Use Of Virtual Platforms In MP-SoC Design. Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006

The Use Of Virtual Platforms In MP-SoC Design. Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006 The Use Of Virtual Platforms In MP-SoC Design Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006 1 MPSoC Is MP SoC design happening? Why? Consumer Electronics Complexity Cost of ASIC Increased SW Content

More information

Hardware/Software Co-design

Hardware/Software Co-design Hardware/Software Co-design Zebo Peng, Department of Computer and Information Science (IDA) Linköping University Course page: http://www.ida.liu.se/~petel/codesign/ 1 of 52 Lecture 1/2: Outline : an Introduction

More information

Abstraction Layers for Hardware Design

Abstraction Layers for Hardware Design SYSTEMC Slide -1 - Abstraction Layers for Hardware Design TRANSACTION-LEVEL MODELS (TLM) TLMs have a common feature: they implement communication among processes via function calls! Slide -2 - Abstraction

More information

Model-Based Social Networking Over Femtocell Environments

Model-Based Social Networking Over Femtocell Environments Proc. of World Cong. on Multimedia and Computer Science Model-Based Social Networking Over Femtocell Environments 1 Hajer Berhouma, 2 Kaouthar Sethom Ben Reguiga 1 ESPRIT, Institute of Engineering, Tunis,

More information

A Novel Approach for Network on Chip Emulation

A Novel Approach for Network on Chip Emulation A Novel for Network on Chip Emulation Nicolas Genko, LSI/EPFL Switzerland David Atienza, DACYA/UCM Spain Giovanni De Micheli, LSI/EPFL Switzerland Luca Benini, DEIS/Bologna Italy José Mendias, DACYA/UCM

More information

Lecture 7: Introduction to Co-synthesis Algorithms

Lecture 7: Introduction to Co-synthesis Algorithms Design & Co-design of Embedded Systems Lecture 7: Introduction to Co-synthesis Algorithms Sharif University of Technology Computer Engineering Dept. Winter-Spring 2008 Mehdi Modarressi Topics for today

More information

Towards AADL to SystemC mapping for partitioned systems. Etienne Borde Laurent Pautet Marc Gatti

Towards AADL to SystemC mapping for partitioned systems. Etienne Borde Laurent Pautet Marc Gatti Towards AADL to SystemC mapping for partitioned systems Michael Lafaye Etienne Borde Laurent Pautet Marc Gatti Presentation of a First Mapping Prototype: AADL to SystemC for Avionics Partitioned Systems

More information

System Planning Overcoming Gap Between Design at Electronic System Level (ESL) and Implementation

System Planning Overcoming Gap Between Design at Electronic System Level (ESL) and Implementation System Planning Overcoming Gap Between Design at Electronic System Level (ESL) and Implementation Horst Salzwedel, TU Ilmenau Presented at EDACentrum Workshop: System Planning Hannover, 30 November 2006

More information

First To Market through Translation of Executable UML

First To Market through Translation of Executable UML 1(40) A swedish friend asked: What is this uml uml that I see everywhere on the web? Humla : Swedish for bumble-bee. 2(40) The old story about the Depending on its weight in relation to the size of its

More information

Knowledge Discovery: How to Reverse-Engineer Legacy Systems

Knowledge Discovery: How to Reverse-Engineer Legacy Systems Knowledge Discovery: How to Reverse-Engineer Legacy Systems Hugo Bruneliere, Frédéric Madiot INRIA & MIA-Software 1 Context of this work Knowledge Discovery: How To Reverse-Engineer Legacy Sytems The present

More information

Extending the Power of FPGAs to Software Developers:

Extending the Power of FPGAs to Software Developers: Extending the Power of FPGAs to Software Developers: The Journey has Begun Salil Raje Xilinx Corporate Vice President Software and IP Products Group Page 1 Agenda The Evolution of FPGAs and FPGA Programming

More information

Multimedia Ontology-Driven Architecture for Multimedia Systems

Multimedia Ontology-Driven Architecture for Multimedia Systems Multimedia Ontology-Driven Architecture for Multimedia Systems Ernesto Exposito 1,2, Jorge Gómez-Montalvo 1,2,4,Myriam Lamolle 3, 1 CNRS ; LAAS ; 7 av. du Colonel Roche, F-31077 Toulouse, FRANCE 2 Université

More information

Universiteit van Amsterdam 1

Universiteit van Amsterdam 1 Universiteit van Amsterdam 1 Embedded systems are ubiquitous! Andy D. Pimentel IPA Lentedagen, 22 April, 2010 2 The design of modern embedded systems becomes increasingly complex Need to support multiple

More information

EE382V: System-on-a-Chip (SoC) Design

EE382V: System-on-a-Chip (SoC) Design EE382V: System-on-a-Chip (SoC) Design Lecture 8 HW/SW Co-Design Sources: Prof. Margarida Jacome, UT Austin Andreas Gerstlauer Electrical and Computer Engineering University of Texas at Austin gerstl@ece.utexas.edu

More information

EEL 5722C Field-Programmable Gate Array Design

EEL 5722C Field-Programmable Gate Array Design EEL 5722C Field-Programmable Gate Array Design Lecture 19: Hardware-Software Co-Simulation* Prof. Mingjie Lin * Rabi Mahapatra, CpSc489 1 How to cosimulate? How to simulate hardware components of a mixed

More information

Imran Rafiq Quadri, Abdoulaye Gamatié, Samy Meftali, Jean-Luc Dekeyser

Imran Rafiq Quadri, Abdoulaye Gamatié, Samy Meftali, Jean-Luc Dekeyser Author manuscript, published in "International Journal of Embedded Systems (2010) 18 p" Int. J. Embedded Systems, Vol. X, Nos. X/X, 2009 1 Targeting Reconfigurable FPGA based SoCs using the UML MARTE profile:

More information

Software Design and Integration for Embedded Multimedia Applications by Successive Refinement

Software Design and Integration for Embedded Multimedia Applications by Successive Refinement Software Design and Integration for Embedded Multimedia Applications by Successive Refinement Katalin Popovici katalin.popovici@mathworks.com The MathWorks, France 2008 The MathWorks, Inc. Acknowledgement

More information

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS Embedded System System Set of components needed to perform a function Hardware + software +. Embedded Main function not computing Usually not autonomous

More information

Simulink -based Programming Environment for Heterogeneous MPSoC

Simulink -based Programming Environment for Heterogeneous MPSoC Simulink -based Programming Environment for Heterogeneous MPSoC Katalin Popovici katalin.popovici@mathworks.com Software Engineer, The MathWorks DATE 2009, Nice, France 2009 The MathWorks, Inc. Summary

More information

MoCC - Models of Computation and Communication SystemC as an Heterogeneous System Specification Language

MoCC - Models of Computation and Communication SystemC as an Heterogeneous System Specification Language SystemC as an Heterogeneous System Specification Language Eugenio Villar Fernando Herrera University of Cantabria Challenges Massive concurrency Complexity PCB MPSoC with NoC Nanoelectronics Challenges

More information

Hardware Design and Simulation for Verification

Hardware Design and Simulation for Verification Hardware Design and Simulation for Verification by N. Bombieri, F. Fummi, and G. Pravadelli Universit`a di Verona, Italy (in M. Bernardo and A. Cimatti Eds., Formal Methods for Hardware Verification, Lecture

More information

Introduction to Embedded Systems

Introduction to Embedded Systems Introduction to Embedded Systems Outline Embedded systems overview What is embedded system Characteristics Elements of embedded system Trends in embedded system Design cycle 2 Computing Systems Most of

More information

MDA for SoC Embedded Systems Design, Intensive Signal Processing Experiment

MDA for SoC Embedded Systems Design, Intensive Signal Processing Experiment MDA for SoC Embedded Systems Design, Intensive Signal Processing Experiment Pierre Boulet, Jean-Luc Dekeyser, Cédric Dumoulin, Philippe Marquet Laboratoire d Informatique Fondamentale de Lille Université

More information

Chapter 2 M3-SCoPE: Performance Modeling of Multi-Processor Embedded Systems for Fast Design Space Exploration

Chapter 2 M3-SCoPE: Performance Modeling of Multi-Processor Embedded Systems for Fast Design Space Exploration Chapter 2 M3-SCoPE: Performance Modeling of Multi-Processor Embedded Systems for Fast Design Space Exploration Hector Posadas, Sara Real, and Eugenio Villar Abstract Design Space Exploration for complex,

More information

Towards an automatic co-generator for manycores. architecture and runtime: STHORM case-study

Towards an automatic co-generator for manycores. architecture and runtime: STHORM case-study Procedia Computer Science Towards an automatic co-generator for manycores Volume 51, 2015, Pages 2809 2813 architecture and runtime: STHORM case-study ICCS 2015 International Conference On Computational

More information

Targeting Reconfigurable FPGA based SoCs using the MARTE UML profile: from high abstraction levels to code generation

Targeting Reconfigurable FPGA based SoCs using the MARTE UML profile: from high abstraction levels to code generation Targeting Reconfigurable FPGA based SoCs using the MARTE UML profile: from high abstraction levels to code generation Imran Rafiq Quadri, Huafeng Yu, Abdoulaye Gamatié, Samy Meftali, Jean-Luc Dekeyser,

More information

ESE Back End 2.0. D. Gajski, S. Abdi. (with contributions from H. Cho, D. Shin, A. Gerstlauer)

ESE Back End 2.0. D. Gajski, S. Abdi. (with contributions from H. Cho, D. Shin, A. Gerstlauer) ESE Back End 2.0 D. Gajski, S. Abdi (with contributions from H. Cho, D. Shin, A. Gerstlauer) Center for Embedded Computer Systems University of California, Irvine http://www.cecs.uci.edu 1 Technology advantages

More information

Benefits and Challenges of Architecture Frameworks

Benefits and Challenges of Architecture Frameworks Benefits and Challenges of Architecture Frameworks Daniel Ota Michael Gerz {daniel.ota michael.gerz}@fkie.fraunhofer.de Fraunhofer Institute for Communication, Information Processing and Ergonomics FKIE

More information

From MARTE to Reconfigurable NoCs: A model driven design methodology

From MARTE to Reconfigurable NoCs: A model driven design methodology From MARTE to Reconfigurable NoCs: A model driven design methodology Imran Rafiq Quadri, Majdi Elhaji, Samy Meftali, Jean-Luc Dekeyser To cite this version: Imran Rafiq Quadri, Majdi Elhaji, Samy Meftali,

More information

Embedded SDR for Small Form Factor Systems

Embedded SDR for Small Form Factor Systems Embedded SDR for Small Form Factor Systems Philip Balister, Tom Tsou, and Jeff Reed MPRG Wireless @ Virginia Tech Blacksburg, VA 24060 balister@vt.edu Outline Embedded Software Defined Radio SDR Frameworks

More information

Long Term Trends for Embedded System Design

Long Term Trends for Embedded System Design Long Term Trends for Embedded System Design Ahmed Amine JERRAYA Laboratoire TIMA, 46 Avenue Félix Viallet, 38031 Grenoble CEDEX, France Email: Ahmed.Jerraya@imag.fr Abstract. An embedded system is an application

More information

Specification and Validation for Heterogeneous MP-SoCs

Specification and Validation for Heterogeneous MP-SoCs Specification and Validation for Heterogeneous MP-SoCs Gabriela Nicolescu Ecole Polytechnique de Montréal Tel : (514) 340 4711 ext 5434 Fax: (514) 340 3240 Email : gabriela.nicolescu@polymtl.ca Heterogeneous

More information

Hardware Software Codesign of Embedded System

Hardware Software Codesign of Embedded System Hardware Software Codesign of Embedded System CPSC489-501 Rabi Mahapatra Mahapatra - Texas A&M - Fall 00 1 Today s topics Course Organization Introduction to HS-CODES Codesign Motivation Some Issues on

More information

Code Generation for QEMU-SystemC Cosimulation from SysML

Code Generation for QEMU-SystemC Cosimulation from SysML Code Generation for QEMU- Cosimulation from SysML Da He, Fabian Mischkalla, Wolfgang Mueller University of Paderborn/C-Lab, Fuerstenallee 11, 33102 Paderborn, Germany {dahe, fabianm, wolfgang}@c-lab.de

More information

Reducing the cost of FPGA/ASIC Verification with MATLAB and Simulink

Reducing the cost of FPGA/ASIC Verification with MATLAB and Simulink Reducing the cost of FPGA/ASIC Verification with MATLAB and Simulink Graham Reith Industry Manager Communications, Electronics and Semiconductors MathWorks Graham.Reith@mathworks.co.uk 2015 The MathWorks,

More information

A Model-based, Single-Source approach to Design-Space Exploration and Synthesis of Mixed-Criticality Systems

A Model-based, Single-Source approach to Design-Space Exploration and Synthesis of Mixed-Criticality Systems A Model-based, Single-Source approach to Design-Space Exploration and Synthesis of Mixed-Criticality Systems Reusability Optimization Architectural Mapping Schedulablity Analysis SW Synthesis Simulation

More information

Embedded Systems. 7. System Components

Embedded Systems. 7. System Components Embedded Systems 7. System Components Lothar Thiele 7-1 Contents of Course 1. Embedded Systems Introduction 2. Software Introduction 7. System Components 10. Models 3. Real-Time Models 4. Periodic/Aperiodic

More information

Hardware Modelling. Design Flow Overview. ECS Group, TU Wien

Hardware Modelling. Design Flow Overview. ECS Group, TU Wien Hardware Modelling Design Flow Overview ECS Group, TU Wien 1 Outline Difference: Hardware vs. Software Design Flow Steps Specification Realisation Verification FPGA Design Flow 2 Hardware vs. Software:

More information

Flight Computer: Managing the Complexity

Flight Computer: Managing the Complexity Flight Computer: Managing the Complexity L.Bouchpan-Lerust-Juery ESTEC/TEC-SWS:lionel.bouchpan-lerust-juery@esa.int W.Gasti ESTEC/TEC-ED:wahida.gasti@esa.int 1 Agenda Introduction Computer of Previous

More information

Computers as Components Principles of Embedded Computing System Design

Computers as Components Principles of Embedded Computing System Design Computers as Components Principles of Embedded Computing System Design Third Edition Marilyn Wolf ELSEVIER AMSTERDAM BOSTON HEIDELBERG LONDON NEW YORK OXFORD PARIS SAN DIEGO SAN FRANCISCO SINGAPORE SYDNEY

More information

Enyx soft-hardware design services and development framework for FPGA & SoC

Enyx soft-hardware design services and development framework for FPGA & SoC soft-hardware design services and development framework for FPGA & SoC Smart NIC Smart Switch Your custom hardware hardware acceleration experts 3rd party IP Cores AXI ARM DMA CPU Your own soft-hardware

More information

A prototyping environment for high performance reconfigurable computing

A prototyping environment for high performance reconfigurable computing A prototyping environment for high performance reconfigurable computing George Afonso EADS Innovation Works INRIA Lille-Nord Europe Email: george.afonso@eads.net Rabie Ben Atitallah LAMIH, University of

More information

Hardware in the Loop Functional Verification Methodology

Hardware in the Loop Functional Verification Methodology OMG's Third Software-Based Communications Workshop: Realizing the Vision Hardware in the Loop Functional Verification Methodology by Pascal Giard Jean-François Boland, Jean Belzile M.Ing. Student École

More information

Embedded Design without an OS. By Peter de Ruiter D&E September 21 st, Transfer BV

Embedded Design without an OS. By Peter de Ruiter D&E September 21 st, Transfer BV Embedded Design without an OS By Peter de Ruiter D&E September 21 st, 2010 Transfer BV Since 1988, Transfer is well known in the BeNeLux for Electronic Design Automation (EDA) training, electronic design

More information

Simplify: a Framework for Enabling Fast Functional/Behavioral Validation of Multiprocessor Architectures in the Cloud

Simplify: a Framework for Enabling Fast Functional/Behavioral Validation of Multiprocessor Architectures in the Cloud 2013 IEEE 27th International Symposium on Parallel & Distributed Processing Workshops and PhD Forum Simplify: a Framework for Enabling Fast Functional/Behavioral Validation of Multiprocessor Architectures

More information

Codesign Framework. Parts of this lecture are borrowed from lectures of Johan Lilius of TUCS and ASV/LL of UC Berkeley available in their web.

Codesign Framework. Parts of this lecture are borrowed from lectures of Johan Lilius of TUCS and ASV/LL of UC Berkeley available in their web. Codesign Framework Parts of this lecture are borrowed from lectures of Johan Lilius of TUCS and ASV/LL of UC Berkeley available in their web. Embedded Processor Types General Purpose Expensive, requires

More information

Towards Optimal Custom Instruction Processors

Towards Optimal Custom Instruction Processors Towards Optimal Custom Instruction Processors Wayne Luk Kubilay Atasu, Rob Dimond and Oskar Mencer Department of Computing Imperial College London HOT CHIPS 18 Overview 1. background: extensible processors

More information

Evaluation of Runtime Task Mapping Heuristics with rsesame - A Case Study

Evaluation of Runtime Task Mapping Heuristics with rsesame - A Case Study Evaluation of Runtime Task Mapping Heuristics with rsesame - A Case Study Kamana Sigdel Mark Thompson Carlo Galuzzi Andy D. Pimentel Koen Bertels Computer Engineering Laboratory EEMCS, Delft University

More information

Multi-granularity Sampling for Simulating Concurrent Heterogeneous Applications

Multi-granularity Sampling for Simulating Concurrent Heterogeneous Applications Multi-granularity Sampling for Simulating Concurrent Heterogeneous Applications Melhem Tawk University of Valenciennes and Hipeac Network of Excellence 59313 VALENCIENNES Cedex 9, France melhem.tawk@univvalenciennes.fr

More information

Adaptive Stream Mining: A Novel Dynamic Computing Paradigm for Knowledge Extraction

Adaptive Stream Mining: A Novel Dynamic Computing Paradigm for Knowledge Extraction Adaptive Stream Mining: A Novel Dynamic Computing Paradigm for Knowledge Extraction AFOSR DDDAS Program PI Meeting Presentation PIs: Shuvra S. Bhattacharyya, University of Maryland Mihaela van der Schaar,

More information

ECE 111 ECE 111. Advanced Digital Design. Advanced Digital Design Winter, Sujit Dey. Sujit Dey. ECE Department UC San Diego

ECE 111 ECE 111. Advanced Digital Design. Advanced Digital Design Winter, Sujit Dey. Sujit Dey. ECE Department UC San Diego Advanced Digital Winter, 2009 ECE Department UC San Diego dey@ece.ucsd.edu http://esdat.ucsd.edu Winter 2009 Advanced Digital Objective: of a hardware-software embedded system using advanced design methodologies

More information

Politecnico di Milano

Politecnico di Milano Politecnico di Milano Automatic parallelization of sequential specifications for symmetric MPSoCs [Full text is available at https://re.public.polimi.it/retrieve/handle/11311/240811/92308/iess.pdf] Fabrizio

More information

Software Architecture

Software Architecture Software Architecture L T JayPrakash jtl@iiitb.ac.in Software Architecture (recap) Other Influences on SA Therefore, SA is important and look into its constituents! Every software system has an architecture!

More information

TIMA Lab. Research Reports

TIMA Lab. Research Reports ISSN 1292-862 TIMA Lab. Research Reports TIMA Laboratory, 46 avenue Félix Viallet, 38000 Grenoble France Application-Specific Multiprocessor Systems-on-Chip Ahmed Amine Jerraya, Amer Baghdadi, Wander Cesário,

More information

Model-Driven Engineering for implementing the ISO series of international standards

Model-Driven Engineering for implementing the ISO series of international standards Model-Driven Engineering for implementing the ISO 19100 series of international standards 2 1 Cyril FAUCHER 1 and Jean-Yves LAFAYE 2 IRISA/INRIA, Campus de Beaulieu, 35042, Rennes cedex, France Laboratoire

More information

NEW FPGA DESIGN AND VERIFICATION TECHNIQUES MICHAL HUSEJKO IT-PES-ES

NEW FPGA DESIGN AND VERIFICATION TECHNIQUES MICHAL HUSEJKO IT-PES-ES NEW FPGA DESIGN AND VERIFICATION TECHNIQUES MICHAL HUSEJKO IT-PES-ES Design: Part 1 High Level Synthesis (Xilinx Vivado HLS) Part 2 SDSoC (Xilinx, HLS + ARM) Part 3 OpenCL (Altera OpenCL SDK) Verification:

More information

MPSoC Design Space Exploration Framework

MPSoC Design Space Exploration Framework MPSoC Design Space Exploration Framework Gerd Ascheid RWTH Aachen University, Germany Outline Motivation: MPSoC requirements in wireless and multimedia MPSoC design space exploration framework Summary

More information

IMPLEMENTATION OF TIME EFFICIENT SYSTEM FOR MEDIAN FILTER USING NIOS II PROCESSOR

IMPLEMENTATION OF TIME EFFICIENT SYSTEM FOR MEDIAN FILTER USING NIOS II PROCESSOR IMPLEMENTATION OF TIME EFFICIENT SYSTEM FOR MEDIAN FILTER USING NIOS II PROCESSOR Tanushree Selokar 1 and Narendra G. Bawane 2 1, 2 Department of Electronics Engineering, R.T.M.N. University, Nagpur, India

More information

Semantics-Based Integration of Embedded Systems Models

Semantics-Based Integration of Embedded Systems Models Semantics-Based Integration of Embedded Systems Models Project András Balogh, OptixWare Research & Development Ltd. n 100021 Outline Embedded systems overview Overview of the GENESYS-INDEXYS approach Current

More information

GUEST EDITORS INTRODUCTION. of the key applications of VLSI technology

GUEST EDITORS INTRODUCTION. of the key applications of VLSI technology GUEST EDITORS INTRODUCTION Multiprocessor Systemson-Chips Single processors may be sufficient for low-performance applications that are typical of early microcontrollers, but an increasing number of applications

More information

A design methodology for TTA protocol processors

A design methodology for TTA protocol processors A design methodology for TTA protocol processors Presentation by Seppo Virtanen seppo.virtanen@utu.fi http://users.utu.fi/seaavi Embedded Systems lab, Turku Centre for Computer Science (TUCS) http://www.tucs.fi

More information

Programming in the Brave New World of Systems-on-a-chip

Programming in the Brave New World of Systems-on-a-chip Programming in the Brave New World of Systems-on-a-chip Arvind Computer Science & Artificial Intelligence Lab Massachusetts Institute of Technology The 25th International Workshop on Languages and Compilers

More information

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools Wen-Yen Lin, Ph.D. Department of Electrical Engineering Chang Gung University Email: wylin@mail.cgu.edu.tw March 2013 Agenda Introduction

More information

Dell Networking Reference Architectures:

Dell Networking Reference Architectures: Dell Networking Reference Architectures: Enabling high density campus environments Dell Networking April 2013 Table of Contents Reference Architectures Objectives Campus Reference Architecture Solution

More information

Embedded Systems: Projects

Embedded Systems: Projects November 2016 Embedded Systems: Projects Davide Zoni PhD email: davide.zoni@polimi.it webpage: home.dei.polimi.it/zoni Contacts & Places Prof. William Fornaciari (Professor in charge) email: william.fornaciari@polimi.it

More information

Automated RTR Temporal Partitioning for Reconfigurable Embedded Real-Time System Design

Automated RTR Temporal Partitioning for Reconfigurable Embedded Real-Time System Design Automated RTR Temporal Partitioning for Reconfigurable Embedded Real-Time System Design C. Tanougast, Y. Berviller, P. Brunet and S. Weber L. I. E. N. Laboratoire d Instrumentation Electronique de Nancy

More information

The University of Reduced Instruction Set Computer (MARC)

The University of Reduced Instruction Set Computer (MARC) The University of Reduced Instruction Set Computer (MARC) Abstract We present our design of a VHDL-based, RISC processor instantiated on an FPGA for use in undergraduate electrical engineering courses

More information

A Preliminary Study of the Impact of Software Engineering on GreenIT

A Preliminary Study of the Impact of Software Engineering on GreenIT A Preliminary Study of the Impact of Software Engineering on GreenIT AURÉLIEN BOURDON ADEL NOUREDDINE ROMAIN ROUVOY LIONEL SEINTURIER prenom.nom@inria.fr PROJECT TEAM ADAM Inria Lille Nord Europe Université

More information

Lab 5. Using Fpro SoC with Hardware Accelerators Fast Sorting

Lab 5. Using Fpro SoC with Hardware Accelerators Fast Sorting Lab 5 Using Fpro SoC with Hardware Accelerators Fast Sorting Design, implement, and verify experimentally a circuit shown in the block diagram below, composed of the following major components: FPro SoC

More information

Common Criteria (CC) Introduction

Common Criteria (CC) Introduction Common Criteria (CC) Introduction Yanet Manzano Florida State University Outline CC History CC Informally Defined CC Goals Interested Parties Interested Parties: Details CC Part 1 CC Part 2 Functional

More information

Towards a Component Agent Service Oriented Model

Towards a Component Agent Service Oriented Model Towards a Component Agent Service Oriented Model Nour Alhouda Aboud, Eric Cariou and Eric Gouardères LIUPPA Laboratory Université de Pau et des Pays de l Adour BP 1155 64013 Pau Cedex France {Nour-alhouda.Aboud,

More information

HW/SW Co-design. Design of Embedded Systems Jaap Hofstede Version 3, September 1999

HW/SW Co-design. Design of Embedded Systems Jaap Hofstede Version 3, September 1999 HW/SW Co-design Design of Embedded Systems Jaap Hofstede Version 3, September 1999 Embedded system Embedded Systems is a computer system (combination of hardware and software) is part of a larger system

More information

HW and SW Architectures for Over-The-Air Dynamic Reconfiguration by Software Download

HW and SW Architectures for Over-The-Air Dynamic Reconfiguration by Software Download Information Technology Center Europe Telecommunications Laboratory HW and SW Architectures for Over-The-Air Dynamic Reconfiguration by Software Download a proof of concept by lab experimentation Christophe

More information

UML Framework for Intensive Signal Processing Embedded Applications

UML Framework for Intensive Signal Processing Embedded Applications LIFL 2002-n 07 Juillet 2002 Publication LIFL 2002-n 07 UML Framework for Intensive Signal Processing Embedded Applications Cédric Dumoulin, Jean-Luc Dekeyser Laboratoire d'informatique Fondamentale de

More information