1.2 Nexys-3 board Between divclk[16] and divclk[20], divclk[ ] is faster than divclk[ ]. It is faster by a factor of.

Size: px
Start display at page:

Download "1.2 Nexys-3 board Between divclk[16] and divclk[20], divclk[ ] is faster than divclk[ ]. It is faster by a factor of."

Transcription

1 ee354l_quiz_fall2016.fm 1.2 Nexys-3 board F E A G D B C You are aware of the scanning mechanism for the four SSDs in Nexys-3 and the 12 pins (4 anodes + cathodes =12 pins) involved in controlling the SSDs. Say, we want to build N3_Super with SSDs in the place of the 4 and another N3_Budget with just 2 SSDs in the place of the 4. State increases or decreases in pins because of this. N3_Super: N3_Budget: Mr. (Bruin/Trojan) says that, since all four dot points are tied together, you can either make them all light together or make them all off together. Explain In the place of the four 7-seg (plus dp for dot point) if we use four 16-seg (plus dp for dot point), what is the impact on pins on FPGA (used up for this display purpose)? Between divclk[16] and divclk[20], divclk[ ] is faster than divclk[ ]. It is faster by a factor of You have seen the following code excerpt for generating the anode controls. assign An0 assign An1 assign An2 assign An3 = ~(~(divclk[16] ) && ~(divclk[15])); = ~(~(divclk[16] ) && (divclk[15])); = ~( (divclk[16] ) && ~(divclk[15])); = ~( divclk[16] ) && (divclk[15])); What happens if we rewrite the code as shown below basically ORing with divclk[25]. assign An0 = divclk[25] (~(~(divclk[16] ) && ~(divclk[15]))) ; assign An1 = divclk[25] (~(~(divclk[16] ) && (divclk[15]))); assign An2 = divclk[25] (~( (divclk[16] ) && ~(divclk[15]))); assign An3 = divclk[25] (~( divclk[16] ) && (divclk[15]))); When you press pushbutton BTNL on the Nexys, you convey (logic 1/logic 0) to pin C4. 10/5/16 EE354L Quiz - Fall / 6 C Copyright 2016 Gandhi Puvvada

2 ee354l_quiz_fall2016.fm 1.2 Nexys-3 board F E A G D B C You are aware of the scanning mechanism for the four SSDs in Nexys-3 and the 4anodes + cathodes =12 pins involved in controlling the SSDs. Say we want to build Nexys-3_Super with SSDs in the place of the four and another Nexys-3_budget with just 2 SSDs in the place of 4. State increase or decrease in pins because of this Mr. (Bruin/Trojan) says that, since all four dot points are tied together, you can either make them all lit together or make them all off together. Explain In the place of the four 7-seg (plus dp for dot point) if we use four 16-seg (plus dp for dot point), what is the impact on pins on FPGA (used up for this display purpose)? Between divclk[16] and divclk[25], is faster than. It is faster by a factor of You have seen the following code excerpt for generating the anode controls. assign An0 assign An1 assign An2 assign An3 = ~(~(divclk[16] ) && ~(divclk[15])); = ~(~(divclk[16] ) && (divclk[15])); = ~( (divclk[16] ) && ~(divclk[15])); = ~( divclk[16] ) && (divclk[15])); What happens if we rewrite the code as shown below basically ORing with divclk[25]. assign An0 = divclk[25] (~(~(divclk[16] ) && ~(divclk[15]))) ; assign An1 = divclk[25] (~(~(divclk[16] ) && (divclk[15]))); assign An2 = divclk[25] (~( (divclk[16] ) && ~(divclk[15]))); assign An3 = divclk[25] (~( divclk[16] ) && (divclk[15]))); When you press pushbutton BTNL on the Nexys, you convey (logic 1/logic 0) to pin C4. 10/5/16 EE354L Quiz - Fall / 6 C Copyright 2016 Gandhi Puvvada

3 ee354l_quiz_fall2015.fm 6 ( = 1 points) 15 min. Nexys-3 board F E A G D B C In the I/O design on the side, Pin C4 is an (input/output) pin. Pin U16 is an (input/output) pin. Pin N16 is an (input/output) pin. Pin T17 is an (input/output) pin. 6.2 Extract from our test_nexys3_verilog.v : 6 Student XYZ used divclk[1:17] for the sev_seg_clk where as student ABC used divclk[14:13]. Hence student XYZ is scanning at a (higher/same/lower) frequency. His SSDs will glow with intensity (brighter/same/dimmer ) than our SSDs. Hence student ABC is scanning at a (higher/same/lower) frequency. Her SSDs will glow with intensity (brighter/same/dimmer ) than our SSDs. 6.3 In a design, if we wanted to display 7777 (each digit with 7 only without any dot point), you (need / do not need) scanning control. Explain briefly how you would get this 7777 displayed with least amount of hardware. The remaining 7 weeks are very crucial for EE354L. Please use our office hours. The grader, the TAs, and I are eager to help you. Best wishes, Gandhi 10/12/15 EE354L Quiz - Fall / 6 C Copyright 2015 Gandhi Puvvada

4 ee354l_quiz_fall2015.fm 6 ( points) min. Nexys-3 board F E A G D B C 6.1 In the I/O design on the side, Pin C4 is an (input/output) pin. Pin U16 is an (input/output) pin. Pin N16 is an (input/output) pin. Pin T17 is an (input/output) pin. 6.2 Extract from our test_nexys3_verilog.v : Student XYZ used divclk[1:17] for the sev_seg_clk where as student ABC used divclk[14:13]. Hence student XYZ is scanning at a (higher/same/lower) frequency. His SSDs will glow with intensity (brighter/same/dimmer ) than our SSDs. Hence student ABC is scanning at a (higher/same/lower) frequency. Her SSDs will glow with intensity (brighter/same/dimmer ) than our SSDs. 6.3 In a design, if we wanted to display 7777 (each digit with 7 only without any dot point), you (need / do not need) scanning control. Explain briefly how you would get this 7777 displayed with least amount of hardware. The remaining 7 weeks are very crucial for EE354L. Please use our office hours. The grader, the TAs, and I are eager to help you. Best wishes, Gandhi 10/12/15 EE201L Quiz - Fall / 6 C Copyright 2015 Gandhi Puvvada

5 4 ( 10 points) 10 min. Reproduced below is a question and its solution from the Quiz of Spring Scanning control alteration to adjust the intensity. // The clock divider is just for your information reg [26:0] divclk; board_clk, posedge reset) begin if (reset) divclk <= 0; else divclk <= divclk + 1'b1; end assign sev_seg_clk = divclk[16:15]; // In the following four lines from the original design, I have replaced An0 with An0_I (standing for Anode 0 Intermediate) and similarly the other three. assign An0_I= ~(~(sev_seg_clk[1]) && ~(sev_seg_clk[0])); // when sev_seg_clk = 00 assign An1_I= ~(~(sev_seg_clk[1]) && (sev_seg_clk[0])); // when sev_seg_clk = 01 assign An2_I= ~( (sev_seg_clk[1]) && ~(sev_seg_clk[0])); // when sev_seg_clk = 10 assign An3_I= ~( (sev_seg_clk[1]) && (sev_seg_clk[0])); // when sev_seg_clk = 11 // In the following four lines, I have used divclk[17] and divclk[1] to keep a signal such as An0 // active or inactive (you figure it out) for extended length of time. assign An0 = (An0_I) (divclk[1]); // ORed with the (divclk[1]) assign An1 = (An1_I) (divclk[1]); // ORed with the (divclk[1]) assign An2 = (An2_I) (divclk[17]); // ORed with the (divclk[17]) assign An3 = (An3_I) (divclk[17]); // ORed with the (divclk[17]) Since An0 and An1 were altered in one way and An2 and An3 were altered in a different way, we expect SSD0 and SSD1 to glow with different intensity compared to SSD2 and SSD3. February 24, :00 am EE354L Quiz - Spring / 7 C Copyright 2016 Gandhi Puvvada

6

7 ee354_quiz_fall201.fm 2.3 You had gone through a past exam question where SSDs were controlled in intensity. Given below is the waveform of divclk [15:19] so that you can perform ORing or ANDing or any combination of these or any logic operation on the GL_I, G1_I, G2_I, GR_I Based on the way the LEDs are connected to the Spartan-6 FPGA on Nexys-3 board, you would produce a (zero / one) on GR_I to light up LD1 LD0. Seven students tried to control GR_I s intensity, by ORing and/or ANDing as shown below. Comment on each student (or groups of students) using words like, wrong design, right design with intensity increased say by a factor of 1.25 or to 125% or decreased say by a factor of 2 or to 50%, etc. assign GR = GR_I divclk[1]; // Student #1 assign GR = GR_I & divclk[1]; // Student #2 assign GR = GR_I (divclk[1] divclk[19]); // Student #3 assign GR = GR_I (divclk[1] & divclk[19]); // Student #4 assign GR = GR_I & (divclk[1] divclk[19]); // Student #5 assign GR = GR_I & (divclk[1] & divclk[19]); // Student #6 assign GR = GR_I ~(divclk[1] divclk[19]); // Student #7 assign GR = GR_I & ~(divclk[1] divclk[19]); // Student # Now make the four groups of LEDs glow in 4 different intensities such that the GL glows with the highest intensity and GR glows with the lowest intensity. Write the assign statements below. 7+3 October 1, 201 6:13 am EE354L Quiz - Fall / 9 C Copyright 201 Gandhi Puvvada

8 ee354_quiz_fall201.fm 2.3 You had gone through a past exam question where SSDs were controlled in intensity. Given below is the waveform of divclk [15:19] so that you can perform ORing or ANDing or any combination of these or any logic operation on the GL_I, G1_I, G2_I, GR_I Based on the way the LEDs are connected to the Spartan-6 FPGA on Nexys-3 board, you would produce a (zero / one) on GR_I to light up LD1 LD0. Seven students tried to control GR_I s intensity, by ORing and/or ANDing as shown below. Comment on each student (or groups of students) using words like, wrong design, right design with intensity increased say by a factor of 1.25 or to 125% or decreased say by a factor of 2 or to 50%, etc. assign GR = GR_I divclk[1]; // Student #1 assign GR = GR_I & divclk[1]; // Student #2 assign GR = GR_I (divclk[1] divclk[19]); // Student #3 assign GR = GR_I (divclk[1] & divclk[19]); // Student #4 assign GR = GR_I & (divclk[1] divclk[19]); // Student #5 assign GR = GR_I & (divclk[1] & divclk[19]); // Student #6 assign GR = GR_I ~(divclk[1] divclk[19]); // Student #7 assign GR = GR_I & ~(divclk[1] divclk[19]); // Student # Now make the four groups of LEDs glow in 4 different intensities such that the GL glows with the highest intensity and GR glows with the lowest intensity. Write the assign statements below. 7+3 October 1, 201 6:13 am EE354L Quiz - Fall / 9 C Copyright 201 Gandhi Puvvada

Introduction to Nexys 2 board - Detour Signal Lab

Introduction to Nexys 2 board - Detour Signal Lab 1. Synopsis: Introduction to Nexys 2 board - This lab introduces the use of Field Programmable Gate Arrays (FPGA). This lab introduces the Digilent Nexys 2 board and demonstrate FPGA design flow through

More information

ee457_mt_sp2013.fm 3 ( 48 points) 30 min. Virtual Memory: 6 pts 9 pts 6 pts 6 pts 6 pts 7 pts 3.1 PTBR stands for. It is initiated by (hardware / oper

ee457_mt_sp2013.fm 3 ( 48 points) 30 min. Virtual Memory: 6 pts 9 pts 6 pts 6 pts 6 pts 7 pts 3.1 PTBR stands for. It is initiated by (hardware / oper ee457_mt_sp2013.fm 3 ( 48 points) 30 min. Virtual Memory: 9 7 3.1 PTBR stands for. It is initiated by (hardware / operating system) and is utilized by (MMU / CCU) (i.e. memory management unit or cache

More information

Experiment # 4 Introduction to FPGAs - Detour Signal Lab

Experiment # 4 Introduction to FPGAs - Detour Signal Lab 1. Synopsis: Experiment # 4 Introduction to FPGAs - Detour Signal Lab This lab introduces the use of Field Programmable Gate Arrays (or FPGAs, for short) for prototyping of digital circuits. Through the

More information

An easy to read reference is:

An easy to read reference is: 1. Synopsis: Timing Analysis and Timing Constraints The objective of this lab is to make you familiar with two critical reports produced by the Xilinx ISE during your design synthesis and implementation.

More information

1 ( 10 points) 6 min.

1 ( 10 points) 6 min. ee201_midterm2_sp2010.fm Spring 2010 EE201L Instructor: Gandhi Puvvada Midterm Exam 2 (20%) Date: April 30, 2010, Friday Open-Book Open-Notes Exam Time: 4-6:20PM SGM101 Name: Notes and handouts in ring

More information

Spring 2013 EE201L Instructor: Gandhi Puvvada. Time: 7:30-10:20AM SGM124 Total points: Perfect score: Open-Book Open-Notes Exam

Spring 2013 EE201L Instructor: Gandhi Puvvada. Time: 7:30-10:20AM SGM124 Total points: Perfect score: Open-Book Open-Notes Exam Spring 2013 EE201L Instructor: Gandhi Puvvada Final Exam 2 (25%) Date: May 9, 2013, Thursday Name: Open-Book Open-Notes Exam Time: 7:30-10:20AM SGM124 Total points: Perfect score: 1 ( points) min. Memory

More information

Exercise 1: Introduction to Digital Circuits EE214 Fall 2014

Exercise 1: Introduction to Digital Circuits EE214 Fall 2014 Exercise 1: Introduction to Digital Circuits EE214 Fall 2014 I am submitting my own work in this exercise, and I am aware of the penalties for cheating that will be assessed if I submit work for credit

More information

XILINX WebPack -- testing with Nexys2 boards at USC (EE201L)

XILINX WebPack -- testing with Nexys2 boards at USC (EE201L) XILINX WebPack -- testing with Nexys2 boards at USC (EE201L) Gandhi Puvvada 1 Before you start: 1.1 We assume that you have installed WebPACK on your desktop or laptop already. We assume that you have

More information

Chapter 10. Counters (a short discussion)

Chapter 10. Counters (a short discussion) EE2L_ClassNotes_Ch_Counters_transparencies.fm Chapter Counters (a short discussion) ecimal count sequence: Ex: 788, 789, 79,... Ex: 798, 799, 8,... Generalization: 2 Binary count sequence: In a multi-bit

More information

ECE2029: Introduction to Digital Circuit Design Lab 5 Using Sequential Logic Circuits A Digital Stop Watch

ECE2029: Introduction to Digital Circuit Design Lab 5 Using Sequential Logic Circuits A Digital Stop Watch ECE2029: Introduction to Digital Circuit Design Lab 5 Using Sequential Logic Circuits A Digital Stop Watch Objective: In this lab you will create a digital stop watch capable of counting and displaying

More information

Fall 2016 Instructor: Gandhi Puvvada. Thursday, 9/22/2016 (A 2H 50M exam) 05:30 PM - 08:20 PM (170 min) in THH101. Student s Last Name:

Fall 2016 Instructor: Gandhi Puvvada. Thursday, 9/22/2016 (A 2H 50M exam) 05:30 PM - 08:20 PM (170 min) in THH101. Student s Last Name: EE457 Quiz (~0%) Closed-book Closed-notes Exam; No cheat sheets; No cell phones or computers Calculators and Verilog Guides are not needed and hence not allowed. Fall 206 Instructor: Gandhi Puvvada Thursday,

More information

ECE2029: Introduction to Digital Circuit Design Lab 4 Building a Sequential Logic Circuit A Four Digit 7-Segment Display Driver

ECE2029: Introduction to Digital Circuit Design Lab 4 Building a Sequential Logic Circuit A Four Digit 7-Segment Display Driver ECE2029: Introduction to Digital Circuit Design Lab 4 Building a Sequential Logic Circuit A Four Digit 7-Segment Display Driver Objective: In this lab you will implement a driver circuit for the 4-digit

More information

CS/IT 114 Introduction to Java, Part 1 FALL 2016 CLASS 14: OCT. 25TH INSTRUCTOR: JIAYIN WANG

CS/IT 114 Introduction to Java, Part 1 FALL 2016 CLASS 14: OCT. 25TH INSTRUCTOR: JIAYIN WANG CS/IT 114 Introduction to Java, Part 1 FALL 2016 CLASS 14: OCT. 25TH INSTRUCTOR: JIAYIN WANG 1 Notice Assignments No new homework this week. Please make up the homework 1 5 & class exercises this week.

More information

Practical 4: RTC on FPGA

Practical 4: RTC on FPGA Practical 4: RTC on FPGA EEE4084F 2015-04-13 Background This practical is divided into two parts. The first is a tutorial that shows you how to set up a new FPGA project in Xilinx ISE. The second is a

More information

Interrupt Lab using PicoBlaze

Interrupt Lab using PicoBlaze Interrupt Lab using PicoBlaze - Vikram & Chethan Advisor: Prof. Gandhi Puvvada Introduction An interrupt is a signal to the processor from hardware (or software) indicating an event that needs immediate

More information

EE457. Note: Parts of the solutions are extracted from the solutions manual accompanying the text book.

EE457. Note: Parts of the solutions are extracted from the solutions manual accompanying the text book. EE457 Instructor: G. Puvvada ======================================================================= Homework 5b, Solution ======================================================================= Note:

More information

ECE 2300 Digital Logic & Computer Organization. More Verilog Finite State Machines

ECE 2300 Digital Logic & Computer Organization. More Verilog Finite State Machines ECE 2300 Digital Logic & Computer Organization Spring 2017 More Verilog Finite State Machines Lecture 8: 1 Announcements 1 st batch of (raw) quiz scores released on CMS Solutions to HW 1-3 released on

More information

EE251: Thursday September 20

EE251: Thursday September 20 EE251: Thursday September 20 Parallel I/O aka General Purpose I/O aka GPIO Common Devices: Switches, LEDs, Keypads Read Lab 4 carefully, and Chapter 14 in text Think about what you would like to review

More information

Addendum # 2 Guidelines to the Design Project

Addendum # 2 Guidelines to the Design Project 1. Motivation: Addendum # 2 Guidelines to the Design Project Throughout the semester, you have done various lab exercises and built simple circuits. In the process, you have learnt many different techniques

More information

Verilog Tutorial - Edited for CS141

Verilog Tutorial - Edited for CS141 - Edited for CS141 Lukasz Strozek October 8, 2005 Based on Weste and Harris and Verilog According to Tom 1 Introduction Verilog is language commonly used in designing digital systems. It s a hardware description

More information

EE457. Homework #7 (Virtual Memory)

EE457. Homework #7 (Virtual Memory) EE457 Homework #7 (Virtual Memory) Instructor: G. Puvvada Due: Please check on the BB Part Ia, Part Ib, and Part Ic are based on the textbook questions/figures. These are detailed in the first five pages.

More information

EEE3410 Microcontroller Applications Department of Electrical Engineering Lecture 9 Simple I/O Interfacing

EEE3410 Microcontroller Applications Department of Electrical Engineering Lecture 9 Simple I/O Interfacing Department of Electrical Engineering Lecture 9 Simple I/O Interfacing Week 10 1 In this Lecture. Interface 8051 with the following Input/Output Devices Switches Solenoid and relays LEDs Seven Segment Display

More information

ECE2029: Introduction to Digital Circuit Design Lab 3 Implementing a 4-bit Four Function ALU

ECE2029: Introduction to Digital Circuit Design Lab 3 Implementing a 4-bit Four Function ALU ECE2029: Introduction to Digital Circuit Design Lab 3 Implementing a 4-bit Four Function ALU Objective: Inside a computer's central processing unit (CPU) there is a sub-block called the arithmetic logic

More information

EE 231 Fall EE 231 Homework 8 Due October 20, 2010

EE 231 Fall EE 231 Homework 8 Due October 20, 2010 EE 231 Homework 8 Due October 20, 20 1. Consider the circuit below. It has three inputs (x and clock), and one output (z). At reset, the circuit starts with the outputs of all flip-flops at 0. x z J Q

More information

2 ( = 46 points) 30 min.

2 ( = 46 points) 30 min. ee457_quiz_fl2010.fm 10/1/10 2 ( 12 10 24 = 46 points) 30 min. State diagram coding in Verilog (you may refer to the Cadence (Esperan) Verilog guide): Consider the following partial flowchart and the corresponding

More information

1 ( 42 points) 25 min.

1 ( 42 points) 25 min. Fall 2 EE457 Instructor: Gandhi Puvvada Final Exam (3%) Date: 2//2, Friday Closed Book, Closed Notes; Time: 8: - :45M SGM23 Calculator and Cadence Verilog Guide allowed Total points: 235 Name: Perfect

More information

1 ( pipeline 89 + single cycle 20 + multicycle 44 = 153 points) 100 min.

1 ( pipeline 89 + single cycle 20 + multicycle 44 = 153 points) 100 min. ee57_mt_sp23.fm Spring 23 EE57 Instructor: Gandhi Puvvada Midterm Exam (2%) Date: /5/23, Friday Time: 9:5M - :5M in THH2 Name: Total points: 2 Perfect score: 22 / 2 ( pipeline 89 + single cycle 2 + multicycle

More information

EITF35 - Introduction to the Structured VLSI Design (Fall 2016) Interfacing Keyboard with FPGA Board. (FPGA Interfacing) Teacher: Dr.

EITF35 - Introduction to the Structured VLSI Design (Fall 2016) Interfacing Keyboard with FPGA Board. (FPGA Interfacing) Teacher: Dr. EITF35 - Introduction to the Structured VLSI Design (Fall 2016) Interfacing Keyboard with FPGA Board (FPGA Interfacing) Teacher: Dr. Liang Liu v.1.0.0 1 Abstract This document describes the basic behavior

More information

Interrupt Lab using PicoBlaze

Interrupt Lab using PicoBlaze Interrupt Lab using PicoBlaze - Vikram & Chethan Advisor: Prof. Gandhi Puvvada Introduction An interrupt is a signal to the processor from hardware (or software) indicating an event that needs immediate

More information

Using the ChipScope Pro for Testing HDL Designs on FPGAs

Using the ChipScope Pro for Testing HDL Designs on FPGAs Using the ChipScope Pro for Testing HDL Designs on FPGAs Compiled by OmkarCK CAD Lab, Dept of E&ECE, IIT Kharagpur. Introduction: Simulation based method is widely used for debugging the FPGA design on

More information

CS 151 Midterm. Instructions: Student ID. (Last Name) (First Name) Signature

CS 151 Midterm. Instructions: Student ID. (Last Name) (First Name) Signature CS 151 Midterm Name Student ID Signature :, (Last Name) (First Name) : : Instructions: 1. Please verify that your paper contains 11 pages including this cover. 2. Write down your Student-Id on the top

More information

Design of a Simple Pipeline (RTL Coding)

Design of a Simple Pipeline (RTL Coding) EE457 Computer Systems Organization Lab #7 Part#3 Subparts #3 and #4 Objective Design of a Simple Pipeline (RTL Coding) To understand and appreciate the improved readability provided by RTL coding (Register

More information

ECE 4305 Computer Architecture Lab #1

ECE 4305 Computer Architecture Lab #1 ECE 4305 Computer Architecture Lab #1 The objective of this lab is for students to familiarize with the FPGA prototyping system board (Nexys-2) and the Xilinx software development environment that will

More information

University of Hawaii EE 361L. Getting Started with Spartan 3E Digilent Basys2 Board. Lab 4.1

University of Hawaii EE 361L. Getting Started with Spartan 3E Digilent Basys2 Board. Lab 4.1 University of Hawaii EE 361L Getting Started with Spartan 3E Digilent Basys2 Board Lab 4.1 I. Test Basys2 Board Attach the Basys2 board to the PC or laptop with the USB connector. Make sure the blue jumper

More information

School Year:

School Year: School Year: 2010 2011 1 McDougal Littell CA Math Algebra 1 Pacing Guide Begin First Semester During the first two weeks of school, teachers will work with students on study skills and diagnostic assessments

More information

Verilog Lecture Gandhi Puvvada, USC always statements, Coding a Flip-Flop. blocking and non-blocking assignments. Copyright 2008 Gandhi Puvvada 1

Verilog Lecture Gandhi Puvvada, USC always statements, Coding a Flip-Flop. blocking and non-blocking assignments. Copyright 2008 Gandhi Puvvada 1 EE201L and EE560 Verilog Lecture by Gandhi Puvvada, USC always statements, t t Coding a Flip-Flop Counters, Basics of Data Path, blocking and non-blocking assignments Copyright 2008 Gandhi Puvvada 1 always

More information

1 ( 23 points) 15 min.

1 ( 23 points) 15 min. ee57_mt_sp2.fm Spring 2 EE57 Instructor: Gandhi Puvvada Midterm Exam (2%) Date: //2, Friday Time: :M - 2:2PM in THH2 Name: Total points: 28 Perfect score: 9 / 28 ( 23 points) 5 min. Pipelining 5 5 3. I.F.R.F

More information

Learning Outcomes. Spiral 3 1. Digital Design Targets ASICS & FPGAS REVIEW. Hardware/Software Interfacing

Learning Outcomes. Spiral 3 1. Digital Design Targets ASICS & FPGAS REVIEW. Hardware/Software Interfacing 3-. 3-.2 Learning Outcomes Spiral 3 Hardware/Software Interfacing I understand the PicoBlaze bus interface signals: PORT_ID, IN_PORT, OUT_PORT, WRITE_STROBE I understand how a memory map provides the agreement

More information

Elec 326: Digital Logic Design

Elec 326: Digital Logic Design Elec 326: Digital Logic Design Project Requirements Fall 2005 For this project you will design and test a three-digit binary-coded-decimal (BCD) adder capable of adding positive and negative BCD numbers.

More information

EE457 Lab 4 Part 4 Seven Questions From Previous Midterm Exams and Final Exams ee457_lab4_part4.fm 10/6/04

EE457 Lab 4 Part 4 Seven Questions From Previous Midterm Exams and Final Exams ee457_lab4_part4.fm 10/6/04 EE457 Lab 4 Part 4 Seven Questions From Previous Midterm Exams and Final Exams ee457_lab4_part4.fm 10/6/04 1 [Based on Question #7 of Summer 1993 Midterm] Remove TARGET register, add ZERO FF: Please refer

More information

Design of a Simple Pipeline

Design of a Simple Pipeline EE457 Computer Systems Organization Lab #7 Part#3 Design of a Simple Pipeline Objective To design and implement a simple pipelined system (other than CPU). It is important to obtain a deep understanding

More information

Behavioral Modeling and Timing Constraints

Behavioral Modeling and Timing Constraints Introduction Behavioral modeling was introduced in Lab 1 as one of three widely used modeling styles. Additional capabilities with respect to testbenches were further introduced in Lab 4. However, there

More information

Revision: February 19, E Main Suite D Pullman, WA (509) Voice and Fax. Switching Power Supplies 3V3 1V2 2V5 1V8

Revision: February 19, E Main Suite D Pullman, WA (509) Voice and Fax. Switching Power Supplies 3V3 1V2 2V5 1V8 Nexys Board Reference Manual Revision: February 19, 2007 215 E Main Suite D Pullman, WA 99163 (509) 334 6306 Voice and Fax Overview s Nexys circuit board is an integrated circuit development platform based

More information

Introduction. In this exercise you will:

Introduction. In this exercise you will: Introduction In a lot of digital designs (DAQ, Trigger,..) the FPGAs are used. The aim of this exercise is to show you a way to logic design in a FPGA. You will learn all the steps from the idea to the

More information

Reconfigurable Architecture (8)

Reconfigurable Architecture (8) Reconfigurable Architecture (8) osana@eee.u-ryukyu.ac.jp Last week and Today Last week: minimum processor-based system CPU + BlockRAM + AXI UART-Lite Hello World from SDK Today: processor-based system

More information

FPGA: FIELD PROGRAMMABLE GATE ARRAY Verilog: a hardware description language. Reference: [1]

FPGA: FIELD PROGRAMMABLE GATE ARRAY Verilog: a hardware description language. Reference: [1] FPGA: FIELD PROGRAMMABLE GATE ARRAY Verilog: a hardware description language Reference: [] FIELD PROGRAMMABLE GATE ARRAY FPGA is a hardware logic device that is programmable Logic functions may be programmed

More information

ECE 2300 Digital Logic & Computer Organization. More Verilog Finite State Machines

ECE 2300 Digital Logic & Computer Organization. More Verilog Finite State Machines ECE 2300 Digital Logic & Computer Organization Spring 2018 More Verilog Finite Machines Lecture 8: 1 Prelim 1, Thursday 3/1, 1:25pm, 75 mins Arrive early by 1:20pm Review sessions Announcements Monday

More information

Steps to run compxlib to compile Xilinx libraries in Modelsim SE 10.1 for EE101/EE201L/EE560 students as well as USC ITS

Steps to run compxlib to compile Xilinx libraries in Modelsim SE 10.1 for EE101/EE201L/EE560 students as well as USC ITS Gandhi Puvvada Steps to run compxlib to compile Xilinx libraries in Modelsim SE 10.1 for EE101/EE201L/EE560 students as well as USC ITS 1 Finish installing Xilinx ISE 13.2 and ModelSim SE 10.1 1.1 We assume

More information

Spiral 3-1. Hardware/Software Interfacing

Spiral 3-1. Hardware/Software Interfacing 3-1.1 Spiral 3-1 Hardware/Software Interfacing 3-1.2 Learning Outcomes I understand the PicoBlaze bus interface signals: PORT_ID, IN_PORT, OUT_PORT, WRITE_STROBE I understand how a memory map provides

More information

You will work in groups of four for the project (same groups as Project 1).

You will work in groups of four for the project (same groups as Project 1). COS/ELE 375 Prof. August Lab 2: PAW Processor Design (18 Nov 2015) Due January 12, 2015 Introduction In the prior project, you became familiar with the PAW instruction set. In this project you will design,

More information

COMPUTER ENGINEERING PROGRAM

COMPUTER ENGINEERING PROGRAM COMPUTER ENGINEERING PROGRAM California Polytechnic State University CPE 169 Experiment 1 Introduction to Basic Logic and the Digilent Development Board Learning Objectives 1. CPE 169 Hardware and Software

More information

1.3 A Branch Delay Slot is (always advantageous / always disadvantageous / depends on compiler s ability to fill the slot) Explain

1.3 A Branch Delay Slot is (always advantageous / always disadvantageous / depends on compiler s ability to fill the slot) Explain ee57_mt_sp2.fm Spring 2 EE57 Instructor: Gandhi Puvvada Midterm Exam (2%) Date: //2, Friday Time: :M - 2:2PM in THH2 Name: Total points: 28 Perfect score: 9 / 28 ( 23 points) 5 min. Pipelining 5 6 5 3.

More information

YOUR NAME PLEASE: *** SOLUTIONS ***

YOUR NAME PLEASE: *** SOLUTIONS *** YOUR NAME PLEASE: *** SOLUTIONS *** Computer Science 201b SAMPLE Exam 1 SOLUTIONS February 15, 2015 Closed book and closed notes. No electronic devices. Show ALL work you want graded on the test itself.

More information

EE 1315 DIGITAL LOGIC LAB EE Dept, UMD

EE 1315 DIGITAL LOGIC LAB EE Dept, UMD EE 1315 DIGITAL LOGIC LAB EE Dept, UMD EXPERIMENT # 1: Logic building blocks The main objective of this experiment is to let you familiarize with the lab equipment and learn about the operation of the

More information

EECS 470 Midterm Exam Fall 2014

EECS 470 Midterm Exam Fall 2014 EECS 470 Midterm Exam Fall 2014 Name: uniqname: Rewrite and sign the honor code below: I have neither given nor received aid on this exam nor observed anyone else doing so. Signature: Scores: Page # Points

More information

Boise State University Digital Systems Laboratory

Boise State University Digital Systems Laboratory by S. M. Loo, Arlen Planting Department of Electrical and Computer Engineering Boise State University First Released: Spring 2005 with ISE 6.3i Updated: Fall 2006 with ISE 8.1i Updated: Spring 2009 with

More information

CSE 591: Advanced Hardware Design and Verification (2012 Spring) LAB #0

CSE 591: Advanced Hardware Design and Verification (2012 Spring) LAB #0 Lab 0: Tutorial on Xilinx Project Navigator & ALDEC s Active-HDL Simulator CSE 591: Advanced Hardware Design and Verification Assigned: 01/05/2011 Due: 01/19/2011 Table of Contents 1 Overview... 2 1.1

More information

Modeling Concepts. Introduction

Modeling Concepts. Introduction Introduction Verilog HDL modeling language supports three kinds of modeling styles: gate-level, dataflow, and behavioral. The gate-level and datafow modeling are used to model combinatorial circuits whereas

More information

Digital Systems EEE4084F FPGA Introduction Verilog and Xilinx ISE [30 Marks]

Digital Systems EEE4084F FPGA Introduction Verilog and Xilinx ISE [30 Marks] Digital Systems EEE4084F 2017-05-10 FPGA Introduction Verilog and Xilinx ISE [30 Marks] Background This practical is divided into two parts. The first is a tutorial that shows you how to set up a new FPGA

More information

Due: Instructor: G. Puvvada

Due: Instructor: G. Puvvada EE457 Homework 18 (not too long Due: Instructor: G. Puvvada in the wooden box outside EEB243 The material which was not tested in the midterm is very important for the final exam. The final exam is supposed

More information

Chapter 2: Frequency Distributions

Chapter 2: Frequency Distributions Chapter 2: Frequency Distributions Chapter Outline 2.1 Introduction to Frequency Distributions 2.2 Frequency Distribution Tables Obtaining ΣX from a Frequency Distribution Table Proportions and Percentages

More information

Lab 4: Register File and Memory 50 points Instructor: Yifeng Zhu Due: One week

Lab 4: Register File and Memory 50 points Instructor: Yifeng Zhu Due: One week Objectives: Lab 4: Register File and Memory 50 points Instructor: Yifeng Zhu Due: One week Build Register File Build Instruction Memory and Data Memory 1. Overview A combinational circuit neither contains

More information

Banks, Jasmine Elizabeth (2011) The Spartan 3E Tutorial 1 : Introduction to FPGA Programming, Version 1.0. [Tutorial Programme]

Banks, Jasmine Elizabeth (2011) The Spartan 3E Tutorial 1 : Introduction to FPGA Programming, Version 1.0. [Tutorial Programme] QUT Digital Repository: http://eprints.qut.edu.au/ This is the author version published as: This is the accepted version of this article. To be published as : This is the author s version published as:

More information

AE66/AC66/AT66/ AE108/AC108/AT108 MICROPROCESSORS & MICROCONTROLLERS

AE66/AC66/AT66/ AE108/AC108/AT108 MICROPROCESSORS & MICROCONTROLLERS Q.2 a. Draw pin diagram and signal group diagram of 8085 microprocessor. (8) b. List out the various categories of the 8085 instructions. Give examples of the instructions for each group. (8) Data transfer

More information

Digital Design through. Arduino

Digital Design through. Arduino Digital Design through 1 Arduino G V V Sharma Contents 1 Display Control through Hardware 2 1.1 Powering the Display.................................. 2 1.2 Controlling the Display.................................

More information

EECS150 Homework 2 Solutions Fall ) CLD2 problem 2.2. Page 1 of 15

EECS150 Homework 2 Solutions Fall ) CLD2 problem 2.2. Page 1 of 15 1.) CLD2 problem 2.2 We are allowed to use AND gates, OR gates, and inverters. Note that all of the Boolean expression are already conveniently expressed in terms of AND's, OR's, and inversions. Thus,

More information

COMPUTER ENGINEERING PROGRAM

COMPUTER ENGINEERING PROGRAM COMPUTER ENGINEERING PROGRAM California Polytechnic State University CPE 169 Experiment 1 Introduction to Basic Logic and the Digilent Development Board Learning Objectives 1. CPE 169 Hardware and Software

More information

ORDERING BUBBLE SHEETS ONLINE

ORDERING BUBBLE SHEETS ONLINE Ordering & Scoring Bubble Sheets 1 The Scantron Evaluation System is used by professors and departments to provide bubble sheets to students for student assessment and course evaluations. Math/CS and HHP

More information

Parallel Display Specifications Revision 1.0

Parallel Display Specifications Revision 1.0 MOP-AL162A Parallel Display Specifications Revision 1.0 Revision History Revision Description Author 1.0 Initial Release Clark 0.2 Updates as per issue #333 Clark 0.1 Initial Draft Clark 1 Contents Revision

More information

METHODS EXERCISES GuessNumber and Sample run SumAll Sample Run

METHODS EXERCISES GuessNumber and Sample run SumAll Sample Run METHODS EXERCISES Write a method called GuessNumber that receives nothing and returns back nothing. The method first picks a random number from 1-100. The user then keeps guessing as long as their guess

More information

University of California, Davis Department of Electrical and Computer Engineering. Lab 1: Implementing Combinational Logic in the MAX10 FPGA

University of California, Davis Department of Electrical and Computer Engineering. Lab 1: Implementing Combinational Logic in the MAX10 FPGA 1 University of California, Davis Department of Electrical and Computer Engineering EEC180B DIGITAL SYSTEMS II Winter Quarter 2018 Lab 1: Implementing Combinational Logic in the MAX10 FPGA Objective: This

More information

MIT Nerd Kit. Configurable Application Modules. Switches

MIT Nerd Kit. Configurable Application Modules. Switches MIT 6.004 Nerd Kit MIT 6.004 Nerd Kit comes with an array of 16 computational blocks. You can configure these blocks to do anything from computing just ANDs and ORs to being a Beta microprocessor. In addition

More information

ECE 574: Modeling and Synthesis of Digital Systems using Verilog and VHDL. Fall 2017 Final Exam (6.00 to 8.30pm) Verilog SOLUTIONS

ECE 574: Modeling and Synthesis of Digital Systems using Verilog and VHDL. Fall 2017 Final Exam (6.00 to 8.30pm) Verilog SOLUTIONS ECE 574: Modeling and Synthesis of Digital Systems using Verilog and VHDL Fall 2017 Final Exam (6.00 to 8.30pm) Verilog SOLUTIONS Note: Closed book no notes or other material allowed apart from the one

More information

EITF35 - Introduction to Structured VLSI Design (Fall ) 7. Assignment 3 - Arithmetic Logic Unit (ALU)

EITF35 - Introduction to Structured VLSI Design (Fall ) 7. Assignment 3 - Arithmetic Logic Unit (ALU) EITF35 - Introduction to Structured VLSI Design (Fall 2018 2016 2015) 7 Assignment 3 - Arithmetic Logic Unit (ALU) v.1.1.0 Introduction In this lab assignment, a simple arithmetic logic unit (ALU) will

More information

Q1: Multiple choice / 20 Q2: C input/output; operators / 40 Q3: Conditional statements / 40 TOTAL SCORE / 100 EXTRA CREDIT / 10

Q1: Multiple choice / 20 Q2: C input/output; operators / 40 Q3: Conditional statements / 40 TOTAL SCORE / 100 EXTRA CREDIT / 10 EECE.2160: ECE Application Programming Spring 2016 Exam 1 February 19, 2016 Name: Section (circle 1): 201 (8-8:50, P. Li) 202 (12-12:50, M. Geiger) For this exam, you may use only one 8.5 x 11 double-sided

More information

CS 101, Spring 2016 March 22nd Exam 2

CS 101, Spring 2016 March 22nd Exam 2 CS 101, Spring 2016 March 22nd Exam 2 Name: Question 1. [3 points] Which of the following loop statements would most likely cause the loop to execute exactly n times? You may assume that n will be set

More information

ELEC 326: Class project

ELEC 326: Class project ELEC 326: Class project Kartik Mohanram 1 Introduction For this project you will design and test a three-digit binary-coded-decimal (BCD) adder capable of adding positive and negative BCD numbers. In the

More information

Hardware Description Languages M E 2202 Tutorial Sheet

Hardware Description Languages M E 2202 Tutorial Sheet Hardware Description Languages M E 2202 Tutorial Sheet Lecturer: Dr. Edward Gatt ejgatt@eng.um.edu.mt Laboratory Tutors: Dr. Ivan Grech igrech@eng.um.edu.mt Mr. Owen Casha owen.casha@um.edu.mt Assessment:

More information

Chapter Operation Pinout Operation 35

Chapter Operation Pinout Operation 35 68000 Operation 35 Chapter 6 68000 Operation 6-1. 68000 Pinout We will do no construction in this chapter; instead, we will take a detailed look at the individual pins of the 68000 and what they do. Fig.

More information

Programmable Logic Design I

Programmable Logic Design I Programmable Logic Design I Introduction In labs 11 and 12 you built simple logic circuits on breadboards using TTL logic circuits on 7400 series chips. This process is simple and easy for small circuits.

More information

Nikhil Gupta. FPGA Challenge Takneek 2012

Nikhil Gupta. FPGA Challenge Takneek 2012 Nikhil Gupta FPGA Challenge Takneek 2012 RECAP FPGA Field Programmable Gate Array Matrix of logic gates Can be configured in any way by the user Codes for FPGA are executed in parallel Configured using

More information

EENG 2910 Project III: Digital System Design. Due: 04/30/2014. Team Members: University of North Texas Department of Electrical Engineering

EENG 2910 Project III: Digital System Design. Due: 04/30/2014. Team Members: University of North Texas Department of Electrical Engineering EENG 2910 Project III: Digital System Design Due: 04/30/2014 Team Members: University of North Texas Department of Electrical Engineering Table of Content i Contents Abstract...3 Introduction...3 Report...4

More information

Topic 1: Introduction

Topic 1: Introduction Topic 1: Introduction COS 320 Compiling Techniques Princeton University Spring 2015 Prof. David August 1 The Usual Suspects Me: Prof. David August, 221 CS Building august@, 258-2085 Office Hours: Tu/Th

More information

Day 4 Percentiles and Box and Whisker.notebook. April 20, 2018

Day 4 Percentiles and Box and Whisker.notebook. April 20, 2018 Day 4 Box & Whisker Plots and Percentiles In a previous lesson, we learned that the median divides a set a data into 2 equal parts. Sometimes it is necessary to divide the data into smaller more precise

More information

Computer Architecture and Engineering. CS152 Quiz #1. February 19th, Professor Krste Asanovic. Name:

Computer Architecture and Engineering. CS152 Quiz #1. February 19th, Professor Krste Asanovic. Name: Computer Architecture and Engineering CS152 Quiz #1 February 19th, 2008 Professor Krste Asanovic Name: Notes: This is a closed book, closed notes exam. 80 Minutes 10 Pages Not all questions are of equal

More information

Which LED(s) turn on?

Which LED(s) turn on? Go to www.menti.com and use the code 90 95 79 Which LED(s) turn on? May 4, 2018 E40M Spring 2018 T. Diamandis, J. Plummer, R. Howe, C. Z. Lee 1 Lab 3b, 3c The LED Cube ENGR 40M Theo Diamandis Stanford

More information

EE 1315: DIGITAL LOGIC LAB EE Dept, UMD

EE 1315: DIGITAL LOGIC LAB EE Dept, UMD EXPERIMENT # 7: Basic Latches EE 1315: DIGITAL LOGIC LAB EE Dept, UMD Latches are primitive memory elements of sequential circuits that are used in building simple noise filtering circuits and flip-flops.

More information

Lab 3 Finite State Machines Movie Ticket Dispensing Machine

Lab 3 Finite State Machines Movie Ticket Dispensing Machine Lab 3 Finite State Machines Movie Ticket Dispensing Machine Design, implement, verify, and test a Movie Ticket Dispensing Machine based on the following specification: The machine should allow the choice

More information

ENGG3380: Computer Organization and Design Lab5: Microprogrammed Control

ENGG3380: Computer Organization and Design Lab5: Microprogrammed Control ENGG330: Computer Organization and Design Lab5: Microprogrammed Control School of Engineering, University of Guelph Winter 201 1 Objectives: The objectives of this lab are to: Start Date: Week #5 201 Due

More information

MICROPROCESSORS A (17.383) Fall Lecture Outline

MICROPROCESSORS A (17.383) Fall Lecture Outline MICROPROCESSORS A (17.383) Fall 2010 Lecture Outline Class # 04 September 28, 2010 Dohn Bowden 1 Today s Lecture Syllabus review Microcontroller Hardware and/or Interface Programming/Software Lab Homework

More information

CSCB58 - Lab 3. Prelab /3 Part I (in-lab) /2 Part II (in-lab) /2 TOTAL /8

CSCB58 - Lab 3. Prelab /3 Part I (in-lab) /2 Part II (in-lab) /2 TOTAL /8 CSCB58 - Lab 3 Latches, Flip-flops, and Registers Learning Objectives The purpose of this exercise is to investigate the fundamental synchronous logic elements: latches, flip-flops, and registers. Prelab

More information

Lab-Report Digital Electronics. Car Park Monitor implemented in a MACH110

Lab-Report Digital Electronics. Car Park Monitor implemented in a MACH110 Lab-Report Digital Electronics Car Park Monitor implemented in a MACH110 Name: Dirk Becker Course: BEng 2 Group: A Student No.: 9801351 Date: 08/12/1998 1. Contents 1. CONTENTS... 2 2. INTRODUCTION...

More information

Tutorial: Working with Verilog and the Xilinx FPGA in ISE 9.2i

Tutorial: Working with Verilog and the Xilinx FPGA in ISE 9.2i Tutorial: Working with Verilog and the Xilinx FPGA in ISE 9.2i This tutorial will show you how to: Use Verilog to specify a design Simulate that Verilog design Define pin constraints for the FPGA (.ucf

More information

Lab 2 Designing with Verilog

Lab 2 Designing with Verilog UNIVERSITY OF CALIFORNIA AT BERKELEY COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL ENGINEERING AND COMPUTER SCIENCE Lab 2 Designing with Verilog 1.0 Motivation In this lab you will learn how to express

More information

FPGA.

FPGA. CMOS TTL Verilog VHDL mshora@yahoo.com 7400. NAND CMOS TTL 1 0 source sink datasheet bounce bounce debunce RS Latch debounce Typical Characteristics NO NC Semiconductor Material Wavelength Colour V F @

More information

CE 435 Embedded Systems Spring 2018

CE 435 Embedded Systems Spring 2018 CE 435 Embedded Systems Spring 2018 Administrivia Introduction to Embedded Systems Nikos Bellas Electrical and Computer Engineering Department University of Thessaly CE435 - Embedded Systems 1 Administrivia

More information

CHAPTER NINE - MSI Logic Circuits

CHAPTER NINE - MSI Logic Circuits CHAPTER NINE - MSI Logic Circuits 9. (a) All of the outputs are HIGH. (b) O =, O- O7 = (c) O - O6 =, O7 =. (d) Same as (a). 9.2 Inputs = 6: Outputs = 64 9.3 (a) [ O6] -> A2=, A=, A=, E3=, E2 =, E= (b)

More information

Laboratory Exercise 7

Laboratory Exercise 7 Laboratory Exercise 7 Finite State Machines This is an exercise in using finite state machines. Part I We wish to implement a finite state machine (FSM) that recognizes two specific sequences of applied

More information

Returning Resident Room Selection Instruction Sheet

Returning Resident Room Selection Instruction Sheet 2019-2020 Returning Resident Room Selection Instruction Sheet All residents and roommates that plan to participate in room selection MUST have completed the 2019-2020 Returning Resident Application at

More information

Experiment 6 Fall 2012

Experiment 6 Fall 2012 10/30/12 Experiment 6 Fall 2012 Experiment 6 Fall 2012 Programmable Electronic Combination Lock In this experiment we will improve upon experiment 5 by adding the ability to program the combination. Design

More information