EDA Past, Present & Future. Ernest S. Kuh Department of EECS University of California, Berkeley

Size: px
Start display at page:

Download "EDA Past, Present & Future. Ernest S. Kuh Department of EECS University of California, Berkeley"

Transcription

1 EDA Past, Present & Future Ernest S. Kuh Department of EECS University of California, Berkeley

2 DEDICATED TO Donald O. Pederson A. Richard Newton EDA Pioneers 2

3 The Beginning Circuit Simulation Circuit Simulators in the 60 s SPICE in the 70 s Circuit Layout (Physical Synthesis) Lee Maze Router 1962 Breuer book 1972 Design Automation of Digital Systems 3

4 CAD INDUSTRY Cadence Daisy Mentor Valid Avanti Cadence Mentor Synopsis Cadence Synopsis Mentor Magma Startups Applicon Calma Computervision

5 5 The Best of ICCAD Functional Verification System Design and Analysis Logic Synthesis Analog and Digital Circuit Design Physical Simulation and Analysis Physical Design Timing, Test and Manufacturing Industry Viewpoints

6 Physical Design Classical Problems Routing Placement Partitioning Floor-Planning (Sequence Pair) 6

7 Timing Issues Delay Signal Integrity Modeling and Timing Analysis Timing-Driven Placement Interconnect Delay Wire Placement? 7

8 Circuit Simulation Outline Cadence - Chi-Ping Hsu (Corp VP) Power issue Nannor - Wayne Dai (Chairman and Founder) DFM issue Conclusions 8

9 Circuit Simulation Story of SPICE Ron Rohrer: CANCER(Computer Analysis of Nonlinear Circuits Excluding Radiation) Don Pederson :SPICE(Simulation Program with Integrated Circuits Emphasis) Larry Nagel Rich Newton 9

10 SPICE Modified Nodal Analysis Dynamic Memory Management Multiple-Order Integration Method of Gear Time-Step Control Algorithm More Accurate MOS Models Secondary Effects for BJTs 10

11 SPICE Interactive Program Modular Version in C of SPICE 2 Graphic Display of Results Basis for New Circuit Simulation Developments at UC Berkeley 11

12 SUBSEQUENT SIMILATORS Semi-Implicit Integration MOTIS 1975 Relaxation SPLICE 1984 Waveform Relaxation RELAX 1984 Expondential Integration XPSIM 1988 Stepwise Constant Device Model SPEC 1989 Asymptotic Waveform Evaluation AWE 1990 Step Wise Equivalent Conductance SWEC

13 SWEC Digital MOS Circuits with Transmission Lines Key Features Stepwise Equivalent Conductance Model Event-Driven Approach Based on Slopes Pade Approximation and Recursive Convolution 13

14 Model Order Reduction Pade: Explicit Moment Matching Balanced Truncation Chebyshev Polynomial State Space: Krylov Subspace and Arnoldi Algorithm Congruent Transformation and Passivity Variational Interconnect Model and Stochastic Approach 14

15 Commercial Software Device and Interconnect Models Partitioning Model Order Reduction Multi-rate and Event driven Simulation Hierarchical Simulation 15

16 Commercial Software Star-Sim, Hsim, UltraSim Digital, Analog and Mixed Signal Power, Delay, Noise and Reliability Speed: faster than SPICE Unlimited Capacity 16

17 What is UltraSim? Fast SPICE Hierarchical Simulator Transistor-level full-chip dynamic simulator For SoC, Memory, Digital Logic & Mixed-signal 100 to 1,000 times faster than SPICE In flat mode Has capacity to handle multi-million transistors Circuit with accuracy that is within 2-3% of SPICE 1,000 to 1,000,000 times faster than SPICE In hierarchical mode Remains within 2-3% of SPICE 17

18 Circuit Analysis Research with UCSD Generalized Y-Delta Transformation for Circuit Reduction Integration Methods Alternating Direction Implicit Method (Two-way Partition) Exponential Operator Splitting Method (Multi-way Partition) Linear System Solutions Two-Stage Newton Raphson Iteration AMG for linear sub-circuits KLU for nonlinear sub-circuits Distributed Simulation 18

19 Distributed Simulation Process Distributed Circuit Partitioning Two-Stage Newton-Raphson Partition Domain Decomposition Distributed Device Loading Fast Parallel Matrix Solver Iterative Matrix Solver on Linear System Distributed Direct Solver on Partitioned Subcircuits Homotopy Nonlinear Solver Adaptive Time Step Control 19

20 Distributed Simulation PU 1 Direct Solver (KLU) PU 2 Direct Solver (KLU) PU k-1 Direct Solver (KLU) Nonlinear Sub-circuit Nonlinear Sub-circuit Nonlinear Sub-circuit Device Loading Device Loading Device Loading Equivalent Ckt Equivalent Ckt Equivalent Ckt Original Circuit Interface Linear-Nonlinear Iteration Equivalent Ckt Equivalent Ckt Equivalent Ckt linear Sub-circuit PU K Parallel AMG linear Sub-circuit PU K+j1 Parallel AMG linear Sub-circuit PU K+jm Parallel AMG 20 PU: Processing Unit

21 Simulation for the Future Mems (Kris Pister) Bio (Shankar Sastry) Optical Nano 21

22 Cadence Design Systems Global leader of design automation solutions Market Cap: $5.5B* 2006 Revenue: $1.5B WW offices: 57 North America 54% Europe 19% Asia 10% Japan 17% Employees: 5200 *February

23 Cadence Design Systems Enabling companies to play in trillion MISSION To be and be recognized as the indispensable partner to the electronics industry dollar markets COMPUTING COMMS CONSUMER AUTOMOTIVE INDUSTRIAL GOV/MIL 23

24 Semiconductor consumption driven by 3 Cs Consumer. Computing. Communications Total IC Market by System Type ($B) Source: SIA, IC Insights COMPUTING COMMS CONSUMER AUTOMOTIVE INDUSTRIAL GOV/MIL 24

25 Design chain orchestration EMS System Biggest investment in collaboration with your design chain partners Test chips Reference Flows Joint development Methodologies ISV Foundry Semi IP 25

26 Thought leadership Industry wide Common power format Si2 to facilitate standardization of the Common Power Format (CPF) through the IEEE 26

27 Process migration is creating new power management challenges Leakage Power Active Power Process Technology (nm) 27

28 Current design-based solutions are fragmented Logic is Connected Power is Not Connected Formal Analysis Parser Testbench Simulation Parser Hardware Parser Formal Analysis Parser Testbench Simulation Parser Hardware Parser Management Synthesis Management Synthesis Parser Parser Parser Parser SVP Parser Logic Information (Verilog) Equivalence Checking Parser SVP Parser Power Information (no consistency) (CPF) Equivalence Checking Parser Parser P+R Parser Test Parser P+R Parser Test IP Libraries IP Libraries Can be Automated Very Difficult to Automate 28

29 Basic Impacts of Advanced Low-power Techniques Power reduction technique Area optimization Multi-Vt optimization Clock gating Leakage Dynamic Timing Area power power penalty penalty 1.1X 6X 0X 10% 0% 20% 0% 0% 0% -10% Implement. impact None Logic design impact None 2 to Automated -2% Low in the None1990 snone <2% Low Low Verification impact None None Multi-supply voltage (MSV) 2X 40-50% 0% <10% Medium Medium Low Advanced Power shut-off (PSO) Dynamic and Adaptive Voltage Frequency Scaling (DVFS and AVS) 10-50X 2-3X ~0% 40-70% 4-8% 0% 5-15% <10% Encounter Medium-high Low Power Made Easy! High High High High High Substrate Biasing 10X - 10% <10% High Medium-High Medium 29

30 Si2 Low Power Coalition Forms to Unify Standardization Efforts LPC Members Announced (17) IBM Intel Texas Instruments STMicroelectronics AMD NXP Semiconductors LSI Logic Cadence Design Systems Magma Design Automation Sequence Design Apache Design Solutions Atrenta Azuro Virage Logic ChipVision Design Systems Golden Gate and others

31 DFM is Critical for Sub 90nm Design Yield Catastrophic Parametric Yield Loss is Increasing!!! Catastrophic yield loss Random particles (short/open fault), lithography (short/open hotspots), CMP (topography) Parametric yield loss Process variation, Lithography (statistics & systematic), CMP(parasitics) Both are increasing fast and the foundry are saying Yes, you need to do DFM at 65 nm. By 65 nm we cannot eliminate systematic yield losses with anything we can do in the foundry. It's up to the chip-design teams." -David Lan, TSMC North America 31

32 Sources of Yield Loss Lithography the feature sizes are decreasing faster than exposing wavelengths Layout geometries by the design tools Actual geometries on the wafer Random Particles Extra metal defects bridge faults Missing metal defects open faults Interconnects And more 32

33 Resolution Enhancement Techniques (RET) Resolution Enhancement Techniques (RET) Optical proximity correction Scattering bars Phase shift masks (PSM) However Determining the RET patterns is complex and contextdependent higher cost Increases the complexity of the geometry lower mask yield higher cost 33

34 Spacing/width Optimizations Wire spreading Move wires apart with an optional extra spacing Wire widening DFM guideline 34

35 Yield-preferred Vias Redundant-cut via: at least one redundant cut not required in functionality reduce via failure More metal coverage DFM guideline 35

36 Conventional Techniques Fails Fight with yield loss: Recommended DFM rules DFM guidelines Floorplan Placement Number and complexity of design rules are exploding Design rules cannot cover all the manufacture issues Routers can t handle the complex rule set efficiently Slower (more rules) More chip area (overhead) Design rules Routing Design Verification RET Yield Issues 36

37 Nannor s Solution: Acuma TM Stand-alone from the main flow More flexible More versatile Floorplan Placement Milkyway Advanced Design Rules Litho Analysis Critical Area Analysis More capable Plug-in and play Compatible with all design flows Interface with DFM analysis tools Routing Timing Verification OA LEF/DEF Lava Acuma TM 37

38 Acuma TM Layout Technology Provide efficient layout modification for advanced design rules. Enable advanced design rule implementation with reduced turn- around-time and full-chip capacity. Support local rip-up and reroute. Have 1 US patent granted and 3 US patents pending. 38

39 CONCLUSION Circuit Simulation Distributed Computing Stochastic Approach Application to other Areas DFM Power Forward Yield-Oriented Physical Design 39

Taming the Challenges of Advanced-Node Design. Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012

Taming the Challenges of Advanced-Node Design. Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012 Taming the Challenges of Advanced-Node Design Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012 The custom design community Designers ( Relaxed attitude

More information

An Overview of Standard Cell Based Digital VLSI Design

An Overview of Standard Cell Based Digital VLSI Design An Overview of Standard Cell Based Digital VLSI Design With examples taken from the implementation of the 36-core AsAP1 chip and the 1000-core KiloCore chip Zhiyi Yu, Tinoosh Mohsenin, Aaron Stillmaker,

More information

CMP Model Application in RC and Timing Extraction Flow

CMP Model Application in RC and Timing Extraction Flow INVENTIVE CMP Model Application in RC and Timing Extraction Flow Hongmei Liao*, Li Song +, Nickhil Jakadtar +, Taber Smith + * Qualcomm Inc. San Diego, CA 92121 + Cadence Design Systems, Inc. San Jose,

More information

The Life of SPICE. Laurence W. Nagel Omega Enterprises Randolph, NJ 1/15/2004 1

The Life of SPICE. Laurence W. Nagel Omega Enterprises Randolph, NJ 1/15/2004 1 The Life of SPICE Laurence W. Nagel Omega Enterprises Randolph, NJ 1/15/2004 1 IC Technology Changes in the Last 30 Years Design rules in tens of mils Masks from rubylith Chips with tens of transistors

More information

An overview of standard cell based digital VLSI design

An overview of standard cell based digital VLSI design An overview of standard cell based digital VLSI design Implementation of the first generation AsAP processor Zhiyi Yu and Tinoosh Mohsenin VCL Laboratory UC Davis Outline Overview of standard cellbased

More information

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies Design Solutions in Foundry Environment by Michael Rubin Agilent Technologies Presenter: Michael Rubin RFIC Engineer, R&D, Agilent Technologies former EDA Engineering Manager Agilent assignee at Chartered

More information

RTL2GDS Low Power Convergence for Chip-Package-System Designs. Aveek Sarkar VP, Technology Evangelism, ANSYS Inc.

RTL2GDS Low Power Convergence for Chip-Package-System Designs. Aveek Sarkar VP, Technology Evangelism, ANSYS Inc. RTL2GDS Low Power Convergence for Chip-Package-System Designs Aveek Sarkar VP, Technology Evangelism, ANSYS Inc. Electronics Design Complexities Antenna Design and Placement Chip Low Power and Thermal

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION Rapid advances in integrated circuit technology have made it possible to fabricate digital circuits with large number of devices on a single chip. The advantages of integrated circuits

More information

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation Course Goals Lab Understand key components in VLSI designs Become familiar with design tools (Cadence) Understand design flows Understand behavioral, structural, and physical specifications Be able to

More information

Technology Platform Segmentation

Technology Platform Segmentation HOW TECHNOLOGY R&D LEADERSHIP BRINGS A COMPETITIVE ADVANTAGE FOR MULTIMEDIA CONVERGENCE Technology Platform Segmentation HP LP 2 1 Technology Platform KPIs Performance Design simplicity Power leakage Cost

More information

EDA: Electronic Design Automation

EDA: Electronic Design Automation EDA: Electronic Design Automation Luis Mateu Contents What is EDA The Phases of IC design Opportunities for parallelism 2006 Synopsys, Inc. (2) Electronic Design Automation? The software tools engineers

More information

101-1 Under-Graduate Project Digital IC Design Flow

101-1 Under-Graduate Project Digital IC Design Flow 101-1 Under-Graduate Project Digital IC Design Flow Speaker: Ming-Chun Hsiao Adviser: Prof. An-Yeu Wu Date: 2012/9/25 ACCESS IC LAB Outline Introduction to Integrated Circuit IC Design Flow Verilog HDL

More information

AMS DESIGN METHODOLOGY

AMS DESIGN METHODOLOGY OVER VIEW CADENCE ANALOG/ MIXED-SIGNAL DESIGN METHODOLOGY The Cadence Analog/Mixed-Signal (AMS) Design Methodology employs advanced Cadence Virtuoso custom design technologies and leverages silicon-accurate

More information

FinFET Technology Understanding and Productizing a New Transistor A joint whitepaper from TSMC and Synopsys

FinFET Technology Understanding and Productizing a New Transistor A joint whitepaper from TSMC and Synopsys White Paper FinFET Technology Understanding and Productizing a New Transistor A joint whitepaper from TSMC and Synopsys April, 2013 Authors Andy Biddle Galaxy Platform Marketing, Synopsys Inc. Jason S.T.

More information

More Course Information

More Course Information More Course Information Labs and lectures are both important Labs: cover more on hands-on design/tool/flow issues Lectures: important in terms of basic concepts and fundamentals Do well in labs Do well

More information

Recent Research and Emerging Challenges in Physical Design for Manufacturability/Reliability

Recent Research and Emerging Challenges in Physical Design for Manufacturability/Reliability Recent Research and Emerging Challenges in Physical Design for Manufacturability/Reliability Chung-Wei Lin 1, Ming-Chao Tsai 2, Kuang-Yao Lee 2, Tai-Chen Chen 1, Ting-Chi Wang 2, and Yao-Wen Chang 1 1

More information

Concurrent, OA-based Mixed-signal Implementation

Concurrent, OA-based Mixed-signal Implementation Concurrent, OA-based Mixed-signal Implementation Mladen Nizic Eng. Director, Mixed-signal Solution 2011, Cadence Design Systems, Inc. All rights reserved worldwide. Mixed-Signal Design Challenges Traditional

More information

EEM870 Embedded System and Experiment Lecture 2: Introduction to SoC Design

EEM870 Embedded System and Experiment Lecture 2: Introduction to SoC Design EEM870 Embedded System and Experiment Lecture 2: Introduction to SoC Design Wen-Yen Lin, Ph.D. Department of Electrical Engineering Chang Gung University Email: wylin@mail.cgu.edu.tw March 2013 Agenda

More information

Low-Power Technology for Image-Processing LSIs

Low-Power Technology for Image-Processing LSIs Low- Technology for Image-Processing LSIs Yoshimi Asada The conventional LSI design assumed power would be supplied uniformly to all parts of an LSI. For a design with multiple supply voltages and a power

More information

Comprehensive Place-and-Route Platform Olympus-SoC

Comprehensive Place-and-Route Platform Olympus-SoC Comprehensive Place-and-Route Platform Olympus-SoC Digital IC Design D A T A S H E E T BENEFITS: Olympus-SoC is a comprehensive netlist-to-gdsii physical design implementation platform. Solving Advanced

More information

Driving Semiconductor Industry Optimization From. Walden C. Rhines. CHAIRMAN & CEO Mentor Graphics Corporation

Driving Semiconductor Industry Optimization From. Walden C. Rhines. CHAIRMAN & CEO Mentor Graphics Corporation Driving Semiconductor Industry Optimization From U.S.-Taiwan-China Relationships Walden C. Rhines CHAIRMAN & CEO Mentor Graphics Corporation U.S.-Taiwan-China Semiconductor Optimization Growing the total

More information

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 1: Introduction to VLSI Technology Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Course Objectives

More information

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group I N V E N T I V E DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group Moore s Law & More : Tall And Thin More than Moore: Diversification Moore s

More information

Physical Verification Challenges and Solution for 45nm and Beyond. Haifang Liao Celesda Design Solutions, Inc.

Physical Verification Challenges and Solution for 45nm and Beyond. Haifang Liao Celesda Design Solutions, Inc. Physical Verification Challenges and Solution for 45nm and Beyond Haifang Liao Celesda Design Solutions, Inc. Nanometer Design Era Semiconductor feature size has been shrunk 500x in 40 years Space for

More information

EE582 Physical Design Automation of VLSI Circuits and Systems

EE582 Physical Design Automation of VLSI Circuits and Systems EE582 Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University Preliminaries Table of Contents Semiconductor manufacturing Problems to solve Algorithm complexity

More information

Dr. Ajoy Bose. SoC Realization Building a Bridge to New Markets and Renewed Growth. Chairman, President & CEO Atrenta Inc.

Dr. Ajoy Bose. SoC Realization Building a Bridge to New Markets and Renewed Growth. Chairman, President & CEO Atrenta Inc. SoC Realization Building a Bridge to New Markets and Renewed Growth Dr. Ajoy Bose Chairman, President & CEO Atrenta Inc. October 20, 2011 2011 Atrenta Inc. SoCs Are Driving Electronic Product Innovation

More information

Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design

Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design Wei-Jin Dai, Dennis Huang, Chin-Chih Chang, Michel Courtoy Cadence Design Systems, Inc. Abstract A design methodology for the implementation

More information

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Hardware Design Environments Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Outline Welcome to COE 405 Digital System Design Design Domains and Levels of Abstractions Synthesis

More information

Parallel Circuit Simulation: How Good Can It Get? Andrei Vladimirescu

Parallel Circuit Simulation: How Good Can It Get? Andrei Vladimirescu Parallel Circuit Simulation: How Good Can It Get? Andrei Vladimirescu Overview Opportunities for Full-Chip Analog Verification Analog vs. Digital Design SPICE standard design tool for Analog and Mixed-Signal

More information

Digital Design Methodology (Revisited) Design Methodology: Big Picture

Digital Design Methodology (Revisited) Design Methodology: Big Picture Digital Design Methodology (Revisited) Design Methodology Design Specification Verification Synthesis Technology Options Full Custom VLSI Standard Cell ASIC FPGA CS 150 Fall 2005 - Lec #25 Design Methodology

More information

TSBCD025 High Voltage 0.25 mm BCDMOS

TSBCD025 High Voltage 0.25 mm BCDMOS TSBCD025 High Voltage 0.25 mm BCDMOS TSI Semiconductors' 0.25 mm process is a feature rich platform with best in class CMOS, LDMOS, and BiPolar devices. The BCD technology enables logic, Mixed-Signal,

More information

Digital Design Methodology

Digital Design Methodology Digital Design Methodology Prof. Soo-Ik Chae Digital System Designs and Practices Using Verilog HDL and FPGAs @ 2008, John Wiley 1-1 Digital Design Methodology (Added) Design Methodology Design Specification

More information

Best Practices for Implementing ARM Cortex -A12 Processor and Mali TM -T6XX GPUs for Mid-Range Mobile SoCs.

Best Practices for Implementing ARM Cortex -A12 Processor and Mali TM -T6XX GPUs for Mid-Range Mobile SoCs. Best Practices for Implementing ARM Cortex -A12 Processor and Mali TM -T6XX GPUs for Mid-Range Mobile SoCs. Cortex-A12: ARM-Cadence collaboration Joint team working on ARM Cortex -A12 irm flow irm content:

More information

James Lin Vice President, Technology Infrastructure Group National Semiconductor Corporation CODES + ISSS 2003 October 3rd, 2003

James Lin Vice President, Technology Infrastructure Group National Semiconductor Corporation CODES + ISSS 2003 October 3rd, 2003 Challenges for SoC Design in Very Deep Submicron Technologies James Lin Vice President, Technology Infrastructure Group National Semiconductor Corporation CODES + ISSS 2003 October 3rd, 2003 1 Contents

More information

VLSI System Testing. Lecture 1 Introduction Class website: people.ee.duke.edu/~krish/teaching/538.html

VLSI System Testing. Lecture 1 Introduction Class website: people.ee.duke.edu/~krish/teaching/538.html ECE 538 VLSI System Testing Krish Chakrabarty Lecture 1: Overview Krish Chakrabarty 1 Lecture 1 Introduction Class website: people.ee.duke.edu/~krish/teaching/538.html VLSI realization process Verification

More information

Overview of Digital Design Methodologies

Overview of Digital Design Methodologies Overview of Digital Design Methodologies ELEC 5402 Pavan Gunupudi Dept. of Electronics, Carleton University January 5, 2012 1 / 13 Introduction 2 / 13 Introduction Driving Areas: Smart phones, mobile devices,

More information

How One Multi-National EDA Vendor Navigates R&D in the Asian IC Design Market. Raul Camposano Sr. VP, CTO

How One Multi-National EDA Vendor Navigates R&D in the Asian IC Design Market. Raul Camposano Sr. VP, CTO How One Multi-National EDA Vendor Navigates R&D in the Asian IC Design Market Raul Camposano Sr. VP, CTO Agenda Industry overview Why Asia? Synopsys in Asia Selling in Asia Service and support in Asia

More information

Analog, Mixed-Signal, and Advanced-Node Custom Design Scalability, Convergence and Throughput

Analog, Mixed-Signal, and Advanced-Node Custom Design Scalability, Convergence and Throughput Analog, Mixed-Signal, and Advanced-Node Custom Design Scalability, Convergence and Throughput Tom Beckley, Senior VP of R&D, Custom IC and Simulation Analog Semiconductor Leaders' Forum Seoul, Korea October

More information

Manufacturability-Aware Physical Layout Optimizations

Manufacturability-Aware Physical Layout Optimizations Manufacturability-Aware Physical Layout Optimizations David Z. Pan and Martin D. F. Wong Dept. of Electrical and Computer Engineering, Univ. of Texas at Austin Dept. of Electrical and Computer Engineering,

More information

Designing into a Foundry Low Power High-k Metal Gate 28nm CMOS Solution for High-Performance Analog Mixed Signal and Mobile Applications

Designing into a Foundry Low Power High-k Metal Gate 28nm CMOS Solution for High-Performance Analog Mixed Signal and Mobile Applications Designing into a Foundry Low Power High-k Metal Gate 28nm CMOS Solution for High-Performance Analog Mixed Signal and Mobile Applications A Collaborative White Paper by RAMBUS and GLOBALFOUNDRIES W h i

More information

Synopsys Design Platform

Synopsys Design Platform Synopsys Design Platform Silicon Proven for FDSOI Swami Venkat, Senior Director, Marketing, Design Group September 26, 2017 2017 Synopsys, Inc. 1 Synopsys: Silicon to Software Software Application security

More information

EE 4755 Digital Design Using Hardware Description Languages

EE 4755 Digital Design Using Hardware Description Languages EE 4755 Digital Design Using Hardware Description Languages Basic Information URL: http://www.ece.lsu.edu/v Offered by: David M. Koppelman, Room 3316R P. F. Taylor Hall 578-5482. koppel@ece.lsu.edu, http://www.ece.lsu.edu/koppel/koppel.html

More information

N E W S R E L E A S E

N E W S R E L E A S E Chartered Semiconductor Manufacturing Ltd. (Regn. No.: 198703584-K ) www.charteredsemi.com 880 N. McCarthy Blvd., Ste. 100 Milpitas, California 95035 Tel: (1) 408.941.1100 Fax: (1) 408.941.1101 60 Woodlands

More information

Accelerating Innovation

Accelerating Innovation Accelerating Innovation In the Era of Exponentials Dr. Chi-Foon Chan President and co-chief Executive Officer, Synopsys, Inc. August 27, 2013 ASQED 1 Accelerating Technology Innovation Exciting time to

More information

Hipex Full-Chip Parasitic Extraction

Hipex Full-Chip Parasitic Extraction What is Hipex? products perform 3D-accurate and 2D-fast extraction of parasitic capacitors and resistors from hierarchical layouts into hierarchical transistor-level netlists using nanometer process technology

More information

Will Silicon Proof Stay the Only Way to Verify Analog Circuits?

Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Pierre Dautriche Jean-Paul Morin Advanced CMOS and analog. Embedded analog Embedded RF 0.5 um 0.18um 65nm 28nm FDSOI 0.25um 0.13um 45nm 1997

More information

Testability Optimizations for A Time Multiplexed CPLD Implemented on Structured ASIC Technology

Testability Optimizations for A Time Multiplexed CPLD Implemented on Structured ASIC Technology ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 14, Number 4, 2011, 392 398 Testability Optimizations for A Time Multiplexed CPLD Implemented on Structured ASIC Technology Traian TULBURE

More information

Agilent 85194K IC-CAP BSIM4 Modeling Package

Agilent 85194K IC-CAP BSIM4 Modeling Package Agilent 85194K IC-CAP BSIM4 Modeling Package Technical Overview The BSIM4 Modeling Package The BSIM4 Modeling Package offers a complete DC-to-RF CMOS modeling toolkit for U.C. Berkeley s BSIM4 model. Developed

More information

Case study of Mixed Signal Design Flow

Case study of Mixed Signal Design Flow IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 3, Ver. II (May. -Jun. 2016), PP 49-53 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Case study of Mixed Signal Design

More information

Compact Model Council

Compact Model Council Compact Model Council Keith Green (TI) Chair Peter Lee (Elpida) Vice Chair 1 History and Purpose The CMC was formed in 1996 as a collaboration of foundries, fabless companies, IDMs and EDA vendors Foundry

More information

Low k 1 Logic Design using Gridded Design Rules

Low k 1 Logic Design using Gridded Design Rules SPIE Advanced Lithography Conference 2008 6925-68 Tela Innovations, ASML 1 Low k 1 Logic Design using Gridded Design Rules Michael C. Smayling a, Hua-yu Liu b, Lynn Cai b a Tela Innovations, Inc., 655

More information

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES DIGITAL DESIGN TECHNOLOGY & TECHNIQUES CAD for ASIC Design 1 INTEGRATED CIRCUITS (IC) An integrated circuit (IC) consists complex electronic circuitries and their interconnections. William Shockley et

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 8(2) I DDQ Current Testing (Chapter 13) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Learning aims Describe the

More information

Programmable Logic Devices II

Programmable Logic Devices II São José February 2015 Prof. Hoeller, Prof. Moecke (http://www.sj.ifsc.edu.br) 1 / 28 Lecture 01: Complexity Management and the Design of Complex Digital Systems Prof. Arliones Hoeller arliones.hoeller@ifsc.edu.br

More information

Evolution of CAD Tools & Verilog HDL Definition

Evolution of CAD Tools & Verilog HDL Definition Evolution of CAD Tools & Verilog HDL Definition K.Sivasankaran Assistant Professor (Senior) VLSI Division School of Electronics Engineering VIT University Outline Evolution of CAD Different CAD Tools for

More information

Impact of DFT Techniques on Wafer Probe

Impact of DFT Techniques on Wafer Probe Impact of DFT Techniques on Wafer Probe Ron Leckie, CEO, INFRASTRUCTURE ron@infras.com Co-author: Charlie McDonald, LogicVision charlie@lvision.com The Embedded Test Company TM Agenda INFRASTRUCTURE Introduction

More information

Clockless IC Design using Handshake Technology. Ad Peeters

Clockless IC Design using Handshake Technology. Ad Peeters Clockless IC Design using Handshake Technology Ad Peeters Handshake Solutions Philips Electronics Philips Semiconductors Philips Corporate Technologies Philips Medical Systems Lighting,... Philips Research

More information

Part 2: Principles for a System-Level Design Methodology

Part 2: Principles for a System-Level Design Methodology Part 2: Principles for a System-Level Design Methodology Separation of Concerns: Function versus Architecture Platform-based Design 1 Design Effort vs. System Design Value Function Level of Abstraction

More information

Conference paper ESD Design Challenges in nano-cmos SoC Design

Conference paper ESD Design Challenges in nano-cmos SoC Design Conference paper ESD Design Challenges in nano-cmos SoC Design SoC conference 2008 The Silicon Controlled Rectifier ( SCR ) is widely used for ESD protection due to its superior performance and clamping

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape Edition April 2017 Semiconductor technology & processing 3D systems-on-chip A clever partitioning of circuits to improve area, cost, power and performance. In recent years, the technology of 3D integration

More information

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 Addressable Test Chip Technology for IC Design and Manufacturing Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 IC Design & Manufacturing Trends Both logic and memory

More information

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics DFT Trends in the More than Moore Era Stephen Pateras Mentor Graphics steve_pateras@mentor.com Silicon Valley Test Conference 2011 1 Outline Semiconductor Technology Trends DFT in relation to: Increasing

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

DATASHEET ENCOUNTER LIBRARY CHARACTERIZER ENCOUNTER LIBRARY CHARACTERIZER

DATASHEET ENCOUNTER LIBRARY CHARACTERIZER ENCOUNTER LIBRARY CHARACTERIZER DATASHEET ENCOUNTER LIBRARY CHARACTERIZER Power and process variation concerns are growing for digital IC designers, who need advanced modeling formats to support their cutting-edge low-power digital design

More information

Common Platform Ecosystem Enablement

Common Platform Ecosystem Enablement Joe Abler Common Platform Ecosystem Enablement IBM provides a complete Foundry solution Innovative technology Leadership road map with advanced SiGe & RF offerings Leading-edge CMOS process development

More information

HIPEX Full-Chip Parasitic Extraction. Summer 2004 Status

HIPEX Full-Chip Parasitic Extraction. Summer 2004 Status HIPEX Full-Chip Parasitic Extraction Summer 2004 Status What is HIPEX? HIPEX Full-Chip Parasitic Extraction products perform 3D-accurate and 2D-fast extraction of parasitic capacitors and resistors from

More information

Taming the Challenges of 20nm Custom/Analog Design

Taming the Challenges of 20nm Custom/Analog Design Taming the Challenges of 20nm Custom/Analog Design Custom and analog designers will lay the foundation for 20nm IC design. However, they face many challenges that arise from manufacturing complexity. The

More information

High Quality, Low Cost Test

High Quality, Low Cost Test Datasheet High Quality, Low Cost Test Overview is a comprehensive synthesis-based test solution for compression and advanced design-for-test that addresses the cost challenges of testing complex designs.

More information

IMPROVES. Initial Investment is Low Compared to SoC Performance and Cost Benefits

IMPROVES. Initial Investment is Low Compared to SoC Performance and Cost Benefits NOC INTERCONNECT IMPROVES SOC ECONO CONOMICS Initial Investment is Low Compared to SoC Performance and Cost Benefits A s systems on chip (SoCs) have interconnect, along with its configuration, verification,

More information

Using Sonnet in a Cadence Virtuoso Design Flow

Using Sonnet in a Cadence Virtuoso Design Flow Using Sonnet in a Cadence Virtuoso Design Flow Purpose of this document: This document describes the Sonnet plug-in integration for the Cadence Virtuoso design flow, for silicon accurate EM modelling of

More information

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP Virtuoso Custom Design Platform GL The Cadence Virtuoso custom design platform is the industry s leading design system for complete front-to-back analog, RF, mixed-signal, and custom digital design. The

More information

Physical Implementation

Physical Implementation CS250 VLSI Systems Design Fall 2009 John Wawrzynek, Krste Asanovic, with John Lazzaro Physical Implementation Outline Standard cell back-end place and route tools make layout mostly automatic. However,

More information

E 4.20 Introduction to Digital Integrated Circuit Design

E 4.20 Introduction to Digital Integrated Circuit Design E 4.20 Introduction to Digital Integrated Circuit Design Peter Cheung Department of Electrical & Electronic Engineering Imperial College London URL: www.ee.ic.ac.uk/pcheung/ E-mail: p.cheung@imperial.ac.uk

More information

Xilinx SSI Technology Concept to Silicon Development Overview

Xilinx SSI Technology Concept to Silicon Development Overview Xilinx SSI Technology Concept to Silicon Development Overview Shankar Lakka Aug 27 th, 2012 Agenda Economic Drivers and Technical Challenges Xilinx SSI Technology, Power, Performance SSI Development Overview

More information

Laker 3 Custom Design Tools

Laker 3 Custom Design Tools Datasheet Laker 3 Custom Design Tools Laker 3 Custom Design Tools The Laker 3 Custom Design Tools form a unified front-to-back environment for custom circuit design and layout. They deliver a complete

More information

Mixed-Signal Design Trends and Challenges

Mixed-Signal Design Trends and Challenges CHAPTER 1 Mixed-Signal Design Trends and Challenges Mladen Nizic Introduction What is mixed-signal design? There may be as many different answers as people asked. Most would agree that mixed-signal is

More information

Design Rule Optimization of Regular layout for Leakage Reduction in Nanoscale Design

Design Rule Optimization of Regular layout for Leakage Reduction in Nanoscale Design Design Rule Optimization of Regular layout for Leakage Reduction in Nanoscale Design Anupama R. Subramaniam, Ritu Singhal, Chi-Chao Wang, Yu Cao Department of Electrical Engineering, Arizona State University,

More information

Simulation and Modeling for Signal Integrity and EMC

Simulation and Modeling for Signal Integrity and EMC Simulation and Modeling for Signal Integrity and EMC Lynne Green Sr. Member of Consulting Staff Cadence Design Systems, Inc. 320 120th Ave NE Bellevue, WA 98005 USA (425) 990-1288 http://www.cadence.com

More information

Eliminating Routing Congestion Issues with Logic Synthesis

Eliminating Routing Congestion Issues with Logic Synthesis Eliminating Routing Congestion Issues with Logic Synthesis By Mike Clarke, Diego Hammerschlag, Matt Rardon, and Ankush Sood Routing congestion, which results when too many routes need to go through an

More information

OpenDFM Targeting Functions. Bob Sayah June 6, 2011 IBM Corporation Si2 Targeting Working Group Chair

OpenDFM Targeting Functions. Bob Sayah June 6, 2011 IBM Corporation Si2 Targeting Working Group Chair OpenDFM Targeting Functions Bob Sayah June 6, 2011 IBM Corporation Si2 Targeting Working Group Chair Targeting Design Drawn Shapes Mfg. Targeting Targeting takes the Drawn Shapes provided by the layout

More information

IC Testing and Development in Semiconductor Area

IC Testing and Development in Semiconductor Area IC Testing and Development in Semiconductor Area Prepare by Lee Zhang, 2004 Outline 1. Electronic Industry Development 2. Semiconductor Industry Development 4Electronic Industry Development Electronic

More information

EE 4755 Digital Design Using Hardware Description Languages

EE 4755 Digital Design Using Hardware Description Languages EE 4755 Digital Design Using Hardware Description Languages Basic Information URL: http://www.ece.lsu.edu/v Offered by: David M. Koppelman, Room 345 ERAD Building 578-5482. koppel@ece.lsu.edu, http://www.ece.lsu.edu/koppel/koppel.html

More information

ASIC world. Start Specification Design Verification Layout Validation Finish

ASIC world. Start Specification Design Verification Layout Validation Finish AMS Verification Agenda ASIC world ASIC Industrial Facts Why Verification? Verification Overview Functional Verification Formal Verification Analog Verification Mixed-Signal Verification DFT Verification

More information

Lithography Simulation-Based Full-Chip Design Analyses

Lithography Simulation-Based Full-Chip Design Analyses Lithography Simulation-Based Full-Chip Design Analyses Puneet Gupta a, Andrew B. Kahng a, Sam Nakagawa a,saumilshah b and Puneet Sharma c a Blaze DFM, Inc., Sunnyvale, CA; b University of Michigan, Ann

More information

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors)

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors) 1 COEN-4730 Computer Architecture Lecture 12 Testing and Design for Testability (focus: processors) Cristinel Ababei Dept. of Electrical and Computer Engineering Marquette University 1 Outline Testing

More information

StarRC Parasitic Extraction

StarRC Parasitic Extraction Datasheet StarRC Parasitic Extraction Overview StarRC is the EDA industry s gold standard for parasitic extraction. A key component of Synopsys Galaxy Design Platform, it provides a siliconaccurate and

More information

Cluster-based approach eases clock tree synthesis

Cluster-based approach eases clock tree synthesis Page 1 of 5 EE Times: Design News Cluster-based approach eases clock tree synthesis Udhaya Kumar (11/14/2005 9:00 AM EST) URL: http://www.eetimes.com/showarticle.jhtml?articleid=173601961 Clock network

More information

Baseband IC Design Kits for Rapid System Realization

Baseband IC Design Kits for Rapid System Realization Baseband IC Design Kits for Rapid System Realization Lanbing Chen Cadence Design Systems Engineering Director John Rowland Spreadtrum Communications SVP of Hardware Engineering Agenda How to Speed Up IC

More information

Elastix TM Corporation Enabling energy-efficient efficient chips. Vigyan Singhal President and CEO December 20, 2007

Elastix TM Corporation Enabling energy-efficient efficient chips. Vigyan Singhal President and CEO December 20, 2007 Elastix TM Corporation Enabling energy-efficient efficient chips Vigyan Singhal President and CEO December 20, 2007 1 Electronic chip design flow always @ (posedge clk) if (sel) q

More information

ASIC, Customer-Owned Tooling, and Processor Design

ASIC, Customer-Owned Tooling, and Processor Design ASIC, Customer-Owned Tooling, and Processor Design Design Style Myths That Lead EDA Astray Nancy Nettleton Manager, VLSI ASIC Device Engineering April 2000 Design Style Myths COT is a design style that

More information

1.4 Other Services Services offered to a broad set of customers, such as product installation and field application support.

1.4 Other Services Services offered to a broad set of customers, such as product installation and field application support. 1. Services 1.1 Consulting Services Services offered to a unique customer to deliver modified or completed electronic designs, including semiconductor or Semiconductor Intellectual Property (SIP) products,

More information

Basic Idea. The routing problem is typically solved using a twostep

Basic Idea. The routing problem is typically solved using a twostep Global Routing Basic Idea The routing problem is typically solved using a twostep approach: Global Routing Define the routing regions. Generate a tentative route for each net. Each net is assigned to a

More information

Systematic Defect Filtering and Data Analysis Methodology for Design Based Metrology

Systematic Defect Filtering and Data Analysis Methodology for Design Based Metrology Systematic Defect Filtering and Data Analysis Methodology for Design Based Metrology Hyunjo Yang* a, Jungchan Kim a, Taehyeong Lee a, Areum Jung a, Gyun Yoo a, Donggyu Yim a, Sungki Park a, Toshiaki Hasebe

More information

Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany

Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany 2013 The MathWorks, Inc. 1 Agenda Model-Based Design of embedded Systems Software Implementation

More information

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration 1 EECS 598: Integrating Emerging Technologies with Computer Architecture Lecture 10: Three-Dimensional (3D) Integration Instructor: Ron Dreslinski Winter 2016 University of Michigan 1 1 1 Announcements

More information

SOI REQUIRES BETTER THAN IR-DROP. F. Clément, CTO

SOI REQUIRES BETTER THAN IR-DROP. F. Clément, CTO SOI REQUIRES BETTER THAN IR-DROP F. Clément, CTO Content IR Drop Vs. System-level Interferences CWS Expertise Accuracy and Performance Silicon Validation Conclusion Copyright CWS 2004-2016 2 Sensitive

More information

Conservation Cores: Reducing the Energy of Mature Computations

Conservation Cores: Reducing the Energy of Mature Computations Conservation Cores: Reducing the Energy of Mature Computations Ganesh Venkatesh, Jack Sampson, Nathan Goulding, Saturnino Garcia, Vladyslav Bryksin, Jose Lugo-Martinez, Steven Swanson, Michael Bedford

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

Implementing Tile-based Chip Multiprocessors with GALS Clocking Styles

Implementing Tile-based Chip Multiprocessors with GALS Clocking Styles Implementing Tile-based Chip Multiprocessors with GALS Clocking Styles Zhiyi Yu, Bevan Baas VLSI Computation Lab, ECE Department University of California, Davis, USA Outline Introduction Timing issues

More information