Accelerating Innovation

Size: px
Start display at page:

Download "Accelerating Innovation"

Transcription

1 Accelerating Innovation In the Era of Exponentials Dr. Chi-Foon Chan President and co-chief Executive Officer, Synopsys, Inc. August 27, 2013 ASQED 1

2 Accelerating Technology Innovation Exciting time to be an Engineer The Era of Electronics Technology The Future Ahead ASQED 2

3 $1.76B FY12 Revenue ~84 Offices Worldwide System Design ~8,195 Employees ~4,431 Masters/ PhD Degrees Verification IP Implementation ~31% R&D/Revenue ~5,129 R&D Engineers ~1,100 Application Consultants ~1,889 Issued Patents ASQED 3 Manufacturing Advanced Technology Leadership Delivers Benefits at Every Node

4 What Happens in 204 Million s Sent >2 Million Search Queries 6 Million Facebook Views And the Future Growth is Exponential Today, the number of = the global networked devices population 1.3 Million Video Views By 2017, the number of devices 3x connected to IP networks will be as high as the global population ASQED 4 Source: Cisco Systems, VNI Global Mobile Data Traffic Forecast Update 2013 and Intel SmartTech Blog

5 Moore s Law Continues 1.0E+12 Transistor Count Trends Transistors per Die 1.0E E E+9 1.0E+8 1.0E+7 1.0E+6 1.0E+5 DRAM NAND Flash (SLC) NAND Flash (MLC) Intel PC MPU Intel Server MPU 64Kb 256Kb Mb Mb Gb 256Gb MLC/128Gb SLC 128Gb MLC/64Gb SLC 64Gb 4Gb 4Gb "Poulson" 2Gb "Tukwila" "Broadwell" 1Gb Itanium 2 "Ivy Bridge" "Gulftown" 256Mb Itanium 2 Core i7 "Bloomfield" Core 2 Duo 64Mb Pentium 4 "Prescott" Itanium Pentium 4 16Mb Pentium III Pentium II Pentium Pro Pentium 8Gb 1.0E+4 1.0E+3 4Kb 1Kb Kb E F 16F Source: Intel, SIA, IC Insights 2012 ASQED 5 Year

6 Designs Are Larger and Faster 60, MHz 50, Gate Count, K Gates 40,000 30,000 20, Clock Frequency 10, Expon. (Gate Count, K Gates) Expon. (Clock Frequency, MHz) Source: Synopsys Global User Survey, ASQED 6

7 Power Is a Growing Problem Leakage Power Dynamic Power W/cm nm 65nm 40nm 28nm 20nm Source: IBS ASQED 7

8 Functional Verification Costs Are Exploding $6.0B $5.0B Engineering Effort IT Infrastructure for Verification $4.0B $3.0B $2.0B $1.0B $0.0B Source: VCS User Companies, Synopsys ASQED 8

9 Chip Development Costs Are Increasing $300 $250 $200 Chip Design Software Dev. +116% Cost ($M) $150 $ % +96% $50 $0 +44% +75% +62% +54% 65nm (90M) 45/40nm (130M) 28nm (180M) 22/20nm (240M) 16/14nm (310M) Feature Dimension (Transistor Count) Source: IBS, December 2012 ASQED 9

10 Advanced Designs at Every Node st to tapeout at 65nm 1 st to tapeout at 45/40nm 1 st to tapeout at 32/28nm 1 st to tapeout at 22/20nm Q3'03 Q4'03 Q1'04 Q2'04 Q3'04 Q4'04 Q1'05 Q2'05 Q3'05 Q4'05 Q1'06 Q2'06 Q3'06 Q4'06 Q1'07 Q2'07 Q3'07 Q4'07 Q1'08 Q2'08 Q3'08 Q4'08 Q1'09 Q2'09 Q3'09 Q4'09 Q1'10 Q2'10 Q3'10 Q4'10 Q1'11 Q2'11 Q3'11 Q4'11 Q1'12 Q2'12 Q3'12 Q4'12 Q1'13 Q2'13 90nm Advanced Tapeout Counts 65nm ASQED 10 45/40nm 32/28nm 22/20nm 16/14nm 10nm

11 Companies Working Hard to Differentiate Recession Recovery Uncertainty ASQED 11 Semi Snapshot as of 7/23/2013

12 Source: IC Insights Report, August 02, ASQED 12

13 Source: IC Insights Report, August 02, ASQED 13

14 Buys Buys Forces Driving Consolidation Buys Buys - Wireless Critical Mass Differentiation Collaboration Shaping the Industry Buys Buys Buys Buys Buys Semiconductor MODEM Buys Buys Accelerating Innovation Buys Buys Buys ASQED 14

15 Accelerating Technology Innovation Differentiation The Era of Electronics Technology The Future Ahead ASQED 16

16 Prototyping Enables Earlier Software Development Traditional Flow Software Stack Synopsys Virtual Prototype Development Effort Hardware Development Software Development Time to Market Integration & Test Product Support & Maintenance Time in Market Prototype A simulation model for the targeted hardware Development Effort Software Higher Productivity Integration & Test Hardware Earlier TTM With Virtual Prototyping Higher Quality Product Support & Maintenance Time to Market Time in Market ASQED 17

17 Increasing Use of Silicon IP and Silicon IP Subsystems Application Processor Application CPU Application CPU RAM RAM ROM Graphic Core(s) Specialty I/O LVDS, Etc Graphics Processors I/O RAM RAM RAM RAM Flash Interface Flash Storage Memory I/Fs On-Chip Bus & Others Basic Peripherals (UARTs/Timers) RAM On-Chip Bus RAM RAM RAM DDR Interface DDR memory Memories & Logic Libraries Interfaces Interface Data Converters Analog Audio / Video I/F Headset Jack Microphone Audio Codecs Video Codecs USB PCIe SATA HDMI MIPI * Small boxes are standard cell library elements. ASQED 18 Analog Deeply embedded processors

18 Increasing Design Complexity 3 rd Party IP Usage Will Continue to Double Through Consumer Escalating Design Costs Wireless Communication Shorter Time Window for New Product Launch Data Processing Automotive Overall 3 rd party design IP use in 2012 Industrial Strong Growth in 3 rd Party IP Usage Wired Communication 0% 15% 30% 45% 60% 75% 90% Percentage of 3 rd Party IP Block Overall 3 rd party design IP use in 2017 Source: Gartner, Semi IP Market, March 2013 ASQED 19

19 Evolution of Implementation Technology 2002 Correlation 2005 Look-Ahead 2009 In-Design 2011 Exploration 2012 Co-Design Synthesis Synthesis Synthesis Synthesis Synthesis Signoff Design Planning Place & Route Signoff Place & Route Signoff Place & Route Signoff Place & Route Signoff Place & Route Custom DRC / LVS DRC / LVS DRC / LVS DRC / LVS DRC / LVS ASQED 20

20 Below 22nm Requires Advanced Solutions FinFET Double Patterning (DPT) Power Density / Integration Performance Performance Power Power Area 3D-IC Density / Integration Power Performance Delivering More Performance with Less Power Performance in a Smaller Area ASQED 21

21 FinFET Technology Must Be Supported Across the Entire SoC Design Process Gate Process Develop. Characterization Design Implementation IP TCAD Circuit Simulation Custom Design Implementation >= DPT spacing C 1 Trench Contact V0 M0 C2 C 3 Fin Sourc e C4 Fin Drain C5 Substrate 3D Lithography Extraction Physical Verification Signoff ASQED 22

22 a b c d a c b d TH TH SoC Design Requires Advanced Advanced multi-voltage techniques Low Power Design Techniques Multi-Voltage Power Gating (Shutdown) DVFS, AVFS Advanced Low Power Mainstream intent-driven techniques Well Biasing EN CLK Clock Gating FF LT ICG Low-VDD Standby Techniques Gate-Level Opt. Architect. Opt. Multi-Threshold Synthesis-based optimization techniques 16 bit 64 bit Ripple CLA Carry Skip Carry Select Carry Save Leakage Current Low V TH TH Nominal V Delay TH TH High V Basic ASQED 23

23 The Problem in Verification Is Time & Cost Heavy Setup and Debug Activity Issue is Engr. Resource and Time Heavy Regression Activity Issue is Compute Cost and Time Verification Reuse Debug Automation Faster Simulation Project Time Bugs Found Compute Cycles ASQED 24

24 Comprehensive SoC Verification Platform Manages Time-to-market & Verification Complexity Technology Must Address Performance Capacity Accuracy Productivity Standards Digital Low-Power AMS HW/SW ASQED 25

25 Accelerating Technology Innovation Collaboration 1. Industry The Era of Electronics 2. University 3. Government Technology The Future Ahead ASQED 26

26 Environmental Legal Ethical Technology Economics Different Disciplines ASQED 27

27 The Economist Morals and the Machine Teaching robots right from wrong June 2, 2012 Economist.com ASQED 28

28 A Car or a Computer on Four Wheels? California becomes latest state to OK driverless cars September 25, 2012 Sources: USA TODAY, California becomes latest state to OK driverless cars, September 25, The Economist, Look, no hands, September 1, ASQED 29

29 The New Green Hub Shifting Gears to Sustainable Development Source: Ecofriend.com Urban Reforestation: Sky-bridges & green connectors to give a new skyline to Kuala Lumpur ASQED 30

30 Purpose + Plan + Our Part How will we impact the future and accelerate innovation? Economy Technology Environmental Legal, Ethical Responsibility ASQED 31

31 ASQED 32 Thank You

Synopsys Design Platform

Synopsys Design Platform Synopsys Design Platform Silicon Proven for FDSOI Swami Venkat, Senior Director, Marketing, Design Group September 26, 2017 2017 Synopsys, Inc. 1 Synopsys: Silicon to Software Software Application security

More information

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN 1 Introduction The evolution of integrated circuit (IC) fabrication techniques is a unique fact in the history of modern industry. The improvements in terms of speed, density and cost have kept constant

More information

TABLE OF CONTENTS III. Section 1. Executive Summary

TABLE OF CONTENTS III. Section 1. Executive Summary Section 1. Executive Summary... 1-1 Section 2. Global IC Industry Outlook and Cycles... 2-1 IC Insights' Forecast Methodology... 2-1 Overview... 2-1 Worldwide GDP... 2-1 Electronic System Sales... 2-2

More information

Embedded Hardware and Software

Embedded Hardware and Software Embedded Hardware and Software Saved by a Common Language? Nithya A. Ruff, Director, Product Marketing 10/11/2012, Toronto Synopsys 2012 1 Synopsys Industry Leadership $1,800 $1,600 $1,400 $1,200 $1,000

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica VLSI Design Automation 1 Outline Technology trends VLSI Design flow (an overview) 2 IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing

More information

Signs of Intelligent Life: AI Simplifies IoT

Signs of Intelligent Life: AI Simplifies IoT Signs of Intelligent Life: AI Simplifies IoT JEDEC Mobile & IOT Forum Stephen Lum Samsung Semiconductor, Inc. Copyright 2018 APPLICATIONS DRIVE CHANGES IN ARCHITECTURES x86 Processors Apps Processors FPGA

More information

An Executive View of Trends and Technologies in Electronics

An Executive View of Trends and Technologies in Electronics An Executive View of Trends and Technologies in Electronics All rights reserved. Safe Harbor Statement and Regulation G Safe Harbor Statement The following discussion contains forward looking statements,

More information

Design and Technology Trends

Design and Technology Trends Lecture 1 Design and Technology Trends R. Saleh Dept. of ECE University of British Columbia res@ece.ubc.ca 1 Recently Designed Chips Itanium chip (Intel), 2B tx, 700mm 2, 8 layer 65nm CMOS (4 processors)

More information

Introduction to ICs and Transistor Fundamentals

Introduction to ICs and Transistor Fundamentals Introduction to ICs and Transistor Fundamentals A Brief History 1958: First integrated circuit Flip-flop using two transistors Built by Jack Kilby at Texas Instruments 2003 Intel Pentium 4 mprocessor (55

More information

HPC Technology Trends

HPC Technology Trends HPC Technology Trends High Performance Embedded Computing Conference September 18, 2007 David S Scott, Ph.D. Petascale Product Line Architect Digital Enterprise Group Risk Factors Today s s presentations

More information

Technology Platform Segmentation

Technology Platform Segmentation HOW TECHNOLOGY R&D LEADERSHIP BRINGS A COMPETITIVE ADVANTAGE FOR MULTIMEDIA CONVERGENCE Technology Platform Segmentation HP LP 2 1 Technology Platform KPIs Performance Design simplicity Power leakage Cost

More information

Ushering in the 3D Memory Era with V- NAND

Ushering in the 3D Memory Era with V- NAND Ushering in the 3D Memory Era with V- NAND Aug. 2013 Jim Elliott (Vice President, Memory Marketing) E.S. Jung (EVP/GM, Semiconductor R&D Center) Flash Memory Summit 2013 Santa Clara, CA 1 Legal Disclaimer

More information

Optimize your system designs using Flash memory

Optimize your system designs using Flash memory Optimize your system designs using Flash memory Howard Cheng Sr. Segment Applications Manager Embedded Solutions Group, Micron 2012 Micron Technology, Inc. All rights reserved. Products are warranted only

More information

More Course Information

More Course Information More Course Information Labs and lectures are both important Labs: cover more on hands-on design/tool/flow issues Lectures: important in terms of basic concepts and fundamentals Do well in labs Do well

More information

Will Everything Start To Look Like An SoC?

Will Everything Start To Look Like An SoC? Will Everything Start To Look Like An SoC? Vikas Gautam, Synopsys Verification Futures Conference 2013 Bangalore, India March 2013 Synopsys 2012 1 SystemVerilog Inherits the Earth e erm SV urm AVM 1.0/2.0/3.0

More information

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation Course Goals Lab Understand key components in VLSI designs Become familiar with design tools (Cadence) Understand design flows Understand behavioral, structural, and physical specifications Be able to

More information

The Many Flavors of NAND and More to Come

The Many Flavors of NAND and More to Come The Many Flavors of NAND and More to Come Brian Shirley VP Micron Memory Product Group 1 NAND Market Growth Drivers Top 10 Applications by Units Shipped 4000 # of Units per Application 3500 Millions of

More information

Dr. Ajoy Bose. SoC Realization Building a Bridge to New Markets and Renewed Growth. Chairman, President & CEO Atrenta Inc.

Dr. Ajoy Bose. SoC Realization Building a Bridge to New Markets and Renewed Growth. Chairman, President & CEO Atrenta Inc. SoC Realization Building a Bridge to New Markets and Renewed Growth Dr. Ajoy Bose Chairman, President & CEO Atrenta Inc. October 20, 2011 2011 Atrenta Inc. SoCs Are Driving Electronic Product Innovation

More information

Introduction. Summary. Why computer architecture? Technology trends Cost issues

Introduction. Summary. Why computer architecture? Technology trends Cost issues Introduction 1 Summary Why computer architecture? Technology trends Cost issues 2 1 Computer architecture? Computer Architecture refers to the attributes of a system visible to a programmer (that have

More information

technology Leadership

technology Leadership technology Leadership MARK BOHR INTEL SENIOR FELLOW, TECHNOLOGY AND MANUFACTURING GROUP DIRECTOR, PROCESS ARCHITECTURE AND INTEGRATION SEPTEMBER 19, 2017 Legal Disclaimer DISCLOSURES China Tech and Manufacturing

More information

VLSI Design Automation. Maurizio Palesi

VLSI Design Automation. Maurizio Palesi VLSI Design Automation 1 Outline Technology trends VLSI Design flow (an overview) 2 Outline Technology trends VLSI Design flow (an overview) 3 IC Products Processors CPU, DSP, Controllers Memory chips

More information

CMPEN 411 VLSI Digital Circuits. Lecture 01: Introduction

CMPEN 411 VLSI Digital Circuits. Lecture 01: Introduction CMPEN 411 VLSI Digital Circuits Kyusun Choi Lecture 01: Introduction CMPEN 411 Course Website link at: http://www.cse.psu.edu/~kyusun/teach/teach.html [Adapted from Rabaey s Digital Integrated Circuits,

More information

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow Moore s Law: Alive and Well Mark Bohr Intel Senior Fellow Intel Scaling Trend 10 10000 1 1000 Micron 0.1 100 nm 0.01 22 nm 14 nm 10 nm 10 0.001 1 1970 1980 1990 2000 2010 2020 2030 Intel Scaling Trend

More information

November 11, 2009 Chang Kim ( 김창식 )

November 11, 2009 Chang Kim ( 김창식 ) Test Cost Challenges November 11, 2009 Chang Kim ( 김창식 ) 1 2 Where we are!!! Number of Die per wafer exponentially increasing!! Bigger Wafer Diameter 150mm 200mm 300mm 450mm 2000 2005 2010 2015 1985 1990

More information

FABRICATION TECHNOLOGIES

FABRICATION TECHNOLOGIES FABRICATION TECHNOLOGIES DSP Processor Design Approaches Full custom Standard cell** higher performance lower energy (power) lower per-part cost Gate array* FPGA* Programmable DSP Programmable general

More information

Place Your Logo Here. K. Charles Janac

Place Your Logo Here. K. Charles Janac Place Your Logo Here K. Charles Janac President and CEO Arteris is the Leading Network on Chip IP Provider Multiple Traffic Classes Low Low cost cost Control Control CPU DSP DMA Multiple Interconnect Types

More information

Korea Semiconductor Test Now and the Future Tim Moriarty

Korea Semiconductor Test Now and the Future Tim Moriarty . Korea Semiconductor Test Now and the Future Tim Moriarty Vice President, Teradyne, Inc. President, Nextest Systems Corp. June, 2009 Key Note Topics Does Test Matter? Korea Today as a Leader Joint Innovation

More information

S2C K7 Prodigy Logic Module Series

S2C K7 Prodigy Logic Module Series S2C K7 Prodigy Logic Module Series Low-Cost Fifth Generation Rapid FPGA-based Prototyping Hardware The S2C K7 Prodigy Logic Module is equipped with one Xilinx Kintex-7 XC7K410T or XC7K325T FPGA device

More information

Future Matters US Disclosure

Future Matters US Disclosure Disclaimer This presentation has been prepared by Samsung Electronics Co., Ltd. (the "Company"). It does not purport to contain all the information that a prospective investor may require in connection

More information

Multimedia in Mobile Phones. Architectures and Trends Lund

Multimedia in Mobile Phones. Architectures and Trends Lund Multimedia in Mobile Phones Architectures and Trends Lund 091124 Presentation Henrik Ohlsson Contact: henrik.h.ohlsson@stericsson.com Working with multimedia hardware (graphics and displays) at ST- Ericsson

More information

Driving Semiconductor Industry Optimization From. Walden C. Rhines. CHAIRMAN & CEO Mentor Graphics Corporation

Driving Semiconductor Industry Optimization From. Walden C. Rhines. CHAIRMAN & CEO Mentor Graphics Corporation Driving Semiconductor Industry Optimization From U.S.-Taiwan-China Relationships Walden C. Rhines CHAIRMAN & CEO Mentor Graphics Corporation U.S.-Taiwan-China Semiconductor Optimization Growing the total

More information

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies Design Solutions in Foundry Environment by Michael Rubin Agilent Technologies Presenter: Michael Rubin RFIC Engineer, R&D, Agilent Technologies former EDA Engineering Manager Agilent assignee at Chartered

More information

Soitec ultra-thin SOI substrates enabling FD-SOI technology. July, 2015

Soitec ultra-thin SOI substrates enabling FD-SOI technology. July, 2015 Soitec ultra-thin SOI substrates enabling FD-SOI technology July, 2015 Agenda FD-SOI: Background & Value Proposition C1- Restricted July 8, 2015 2 Today Ultra-mobile & Connected Consumer At Any Time With

More information

On-chip Networks Enable the Dark Silicon Advantage. Drew Wingard CTO & Co-founder Sonics, Inc.

On-chip Networks Enable the Dark Silicon Advantage. Drew Wingard CTO & Co-founder Sonics, Inc. On-chip Networks Enable the Dark Silicon Advantage Drew Wingard CTO & Co-founder Sonics, Inc. Agenda Sonics history and corporate summary Power challenges in advanced SoCs General power management techniques

More information

Samsung System LSI Business

Samsung System LSI Business Samsung System LSI Business NS (Stephen) Woo, Ph.D. President & GM of System LSI Samsung Electronics 0/32 Disclaimer The materials in this report include forward-looking statements which can generally

More information

High Capacity and High Performance 20nm FPGAs. Steve Young, Dinesh Gaitonde August Copyright 2014 Xilinx

High Capacity and High Performance 20nm FPGAs. Steve Young, Dinesh Gaitonde August Copyright 2014 Xilinx High Capacity and High Performance 20nm FPGAs Steve Young, Dinesh Gaitonde August 2014 Not a Complete Product Overview Page 2 Outline Page 3 Petabytes per month Increasing Bandwidth Global IP Traffic Growth

More information

Design Techniques for Implementing an 800MHz ARM v5 Core for Foundry-Based SoC Integration. Faraday Technology Corp.

Design Techniques for Implementing an 800MHz ARM v5 Core for Foundry-Based SoC Integration. Faraday Technology Corp. Design Techniques for Implementing an 800MHz ARM v5 Core for Foundry-Based SoC Integration Faraday Technology Corp. Table of Contents 1 2 3 4 Faraday & FA626TE Overview Why We Need an 800MHz ARM v5 Core

More information

Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol SerDes PHY IP

Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol SerDes PHY IP Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol IP By William Chen and Osman Javed, Cadence Design Systems Applications such as the Internet of Things, cloud computing, and high-definition

More information

EE586 VLSI Design. Partha Pande School of EECS Washington State University

EE586 VLSI Design. Partha Pande School of EECS Washington State University EE586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 1 (Introduction) Why is designing digital ICs different today than it was before? Will it change in

More information

What is This Course About? CS 356 Unit 0. Today's Digital Environment. Why is System Knowledge Important?

What is This Course About? CS 356 Unit 0. Today's Digital Environment. Why is System Knowledge Important? 0.1 What is This Course About? 0.2 CS 356 Unit 0 Class Introduction Basic Hardware Organization Introduction to Computer Systems a.k.a. Computer Organization or Architecture Filling in the "systems" details

More information

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics DFT Trends in the More than Moore Era Stephen Pateras Mentor Graphics steve_pateras@mentor.com Silicon Valley Test Conference 2011 1 Outline Semiconductor Technology Trends DFT in relation to: Increasing

More information

High Performance Mixed-Signal Solutions from Aeroflex

High Performance Mixed-Signal Solutions from Aeroflex High Performance Mixed-Signal Solutions from Aeroflex We Connect the REAL World to the Digital World Solution-Minded Performance-Driven Customer-Focused Aeroflex (NASDAQ:ARXX) Corporate Overview Diversified

More information

N E W S R E L E A S E

N E W S R E L E A S E Chartered Semiconductor Manufacturing Ltd. (Regn. No.: 198703584-K ) www.charteredsemi.com 880 N. McCarthy Blvd., Ste. 100 Milpitas, California 95035 Tel: (1) 408.941.1100 Fax: (1) 408.941.1101 60 Woodlands

More information

ECE484 VLSI Digital Circuits Fall Lecture 01: Introduction

ECE484 VLSI Digital Circuits Fall Lecture 01: Introduction ECE484 VLSI Digital Circuits Fall 2017 Lecture 01: Introduction Adapted from slides provided by Mary Jane Irwin. [Adapted from Rabaey s Digital Integrated Circuits, 2002, J. Rabaey et al.] CSE477 L01 Introduction.1

More information

Apache s Power Noise Simulation Technologies

Apache s Power Noise Simulation Technologies Enabling Power Efficient i Designs Apache s Power Noise Simulation Technologies 1 Aveek Sarkar VP of Support Apache Design Inc, A wholly owned subsidiary of ANSYS Trends in Today s Electronic Designs Low-power

More information

Emergence of Segment-Specific DDRn Memory Controller and PHY IP Solution. By Eric Esteve (PhD) Analyst. July IPnest.

Emergence of Segment-Specific DDRn Memory Controller and PHY IP Solution. By Eric Esteve (PhD) Analyst. July IPnest. Emergence of Segment-Specific DDRn Memory Controller and PHY IP Solution By Eric Esteve (PhD) Analyst July 2016 IPnest www.ip-nest.com Emergence of Segment-Specific DDRn Memory Controller IP Solution By

More information

Will Everything Start To Look Like An SoC?

Will Everything Start To Look Like An SoC? Will Everything Start To Look Like An SoC? Janick Bergeron, Synopsys Verification Futures Conference 2012 France, Germany, UK November 2012 Synopsys 2012 1 SystemVerilog Inherits the Earth e erm SV urm

More information

2009 International Solid-State Circuits Conference Intel Paper Highlights

2009 International Solid-State Circuits Conference Intel Paper Highlights 2009 International Solid-State Circuits Conference Intel Paper Highlights Mark Bohr Intel Senior Fellow Soumyanath Krishnamurthy Intel Fellow 1 2009 ISSCC Intel Paper Summary Under embargo until February,

More information

Lecture (02) x86 programming 1

Lecture (02) x86 programming 1 Lecture (02) x86 programming 1 By: Dr. Ahmed ElShafee ١ TOC Historical Perspective Basic component of microprocessor based system Types of instruction set The Memory Map of a Personal Computers The 80x86

More information

A C H I E V E B O T H W I T H K E Y S I G H T. Company Profile

A C H I E V E B O T H W I T H K E Y S I G H T. Company Profile A C H I E V E B O T H W I T H K E Y S I G H T 1 W E H E L P Y O U C R E AT E. I N N O VAT E. A N D D E L I V E R W H AT S N E X T. The innovation leader in electronic design and test for over 75 years

More information

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey System-on-Chip Architecture for Mobile Applications Sabyasachi Dey Email: sabyasachi.dey@gmail.com Agenda What is Mobile Application Platform Challenges Key Architecture Focus Areas Conclusion Mobile Revolution

More information

Taming the Challenges of Advanced-Node Design. Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012

Taming the Challenges of Advanced-Node Design. Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012 Taming the Challenges of Advanced-Node Design Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012 The custom design community Designers ( Relaxed attitude

More information

ECE 261: Full Custom VLSI Design

ECE 261: Full Custom VLSI Design ECE 261: Full Custom VLSI Design Prof. James Morizio Dept. Electrical and Computer Engineering Hudson Hall Ph: 201-7759 E-mail: jmorizio@ee.duke.edu URL: http://www.ee.duke.edu/~jmorizio Course URL: http://www.ee.duke.edu/~jmorizio/ece261/261.html

More information

Simplify System Complexity

Simplify System Complexity 1 2 Simplify System Complexity With the new high-performance CompactRIO controller Arun Veeramani Senior Program Manager National Instruments NI CompactRIO The Worlds Only Software Designed Controller

More information

SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd

SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd 2 Agenda TSMC IP Ecosystem DDR Interfaces for SoCs Summary 3 TSMC Highlights Founded in 1987 The world's first dedicated semiconductor foundry

More information

Providing Fundamental ICT Skills for Syrian Refugees PFISR

Providing Fundamental ICT Skills for Syrian Refugees PFISR Yarmouk University Providing Fundamental ICT Skills for Syrian Refugees (PFISR) Providing Fundamental ICT Skills for Syrian Refugees PFISR Dr. Amin Jarrah Amin.jarrah@yu.edu.jo Objectives Covered 1.1 Given

More information

HW Trends and Architectures

HW Trends and Architectures Pavel Tvrdík, Jiří Kašpar (ČVUT FIT) HW Trends and Architectures MI-POA, 2011, Lecture 1 1/29 HW Trends and Architectures prof. Ing. Pavel Tvrdík CSc. Ing. Jiří Kašpar Department of Computer Systems Faculty

More information

HISTORY OF MICROPROCESSORS

HISTORY OF MICROPROCESSORS HISTORY OF MICROPROCESSORS CONTENTS Introduction 4-Bit Microprocessors 8-Bit Microprocessors 16-Bit Microprocessors 1 32-Bit Microprocessors 64-Bit Microprocessors 2 INTRODUCTION Fairchild Semiconductors

More information

Mary Yeoh Intel Penang Design Center (ipdc) Intel Corporation Penang, Malaysia

Mary Yeoh Intel Penang Design Center (ipdc) Intel Corporation Penang, Malaysia Beyond the Focus Penetration Testing in Future Hardware Fuzzing the RTL Mary Yeoh Intel Penang Design Center (ipdc) Intel Corporation Penang, Malaysia Legal Disclaimer Today s presentation may contain

More information

Intel s s Memory Strategy for the Wireless Phone

Intel s s Memory Strategy for the Wireless Phone Intel s s Memory Strategy for the Wireless Phone Stefan Lai VP and Co-Director, CTM Intel Corporation Nikkei Microdevices Memory Symposium January 26 th, 2005 Agenda Evolution of Memory Requirements Evolution

More information

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 1: Introduction to VLSI Technology Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Course Objectives

More information

OUTLINE. System-on-Chip Design ( ) System-on-Chip Design for Embedded Systems ( ) WHAT IS A SYSTEM-ON-CHIP?

OUTLINE. System-on-Chip Design ( ) System-on-Chip Design for Embedded Systems ( ) WHAT IS A SYSTEM-ON-CHIP? September 3, 2018 System-on-Chip Design (191210750) System-on-Chip Design for Embedded Systems (191211590) 2 OUTLINE Short general introduction to IC design Organization of this course 3 WHAT IS A SYSTEM-ON-CHIP?

More information

Trend in microelectronics The design process and tasks Different design paradigms Basic terminology The test problems

Trend in microelectronics The design process and tasks Different design paradigms Basic terminology The test problems Electronics Systems Trend in microelectronics The design process and tasks Different design paradigms Basic terminology The test problems The Technological Trend # of trans. 100M 75M 50M Moore s Law (#

More information

Does FPGA-based prototyping really have to be this difficult?

Does FPGA-based prototyping really have to be this difficult? Does FPGA-based prototyping really have to be this difficult? Embedded Conference Finland Andrew Marshall May 2017 What is FPGA-Based Prototyping? Primary platform for pre-silicon software development

More information

Continuing Moore s law

Continuing Moore s law Continuing Moore s law MARK BOHR INTEL SENIOR FELLOW, TECHNOLOGY AND MANUFACTURING GROUP DIRECTOR, PROCESS ARCHITECTURE AND INTEGRATION SEPTEMBER 19, 2017 Legal Disclaimer DISCLOSURES China Tech and Manufacturing

More information

Credit Suisse 18 th Annual Technology Conference

Credit Suisse 18 th Annual Technology Conference Credit Suisse 18 th Annual Technology Conference Alper Ilkbahar, Vice President, Marketing SanDisk Enterprise Storage Solutions December 3, 2014 1 Forward-Looking Statements During our meeting today we

More information

Flash Memory Overview: Technology & Market Trends. Allen Yu Phison Electronics Corp.

Flash Memory Overview: Technology & Market Trends. Allen Yu Phison Electronics Corp. Flash Memory Overview: Technology & Market Trends Allen Yu Phison Electronics Corp. 25,000 20,000 15,000 The NAND Market 40% CAGR 10,000 5,000 ($Million) - 2001 2002 2003 2004 2005 2006 2007 2008 2009

More information

90-nm To 10-nm Physical IP For Wearable Devices & Application Processors Navraj Nandra Synopsys, Inc. All rights reserved. 1

90-nm To 10-nm Physical IP For Wearable Devices & Application Processors Navraj Nandra Synopsys, Inc. All rights reserved. 1 90-nm To 10-nm Physical IP For Wearable Devices & Application Processors Navraj Nandra 2015 Synopsys, Inc. All rights reserved. 1 Process Requirements are Specific to Customer/Market Need Wearable / IoT

More information

Multi-Core Microprocessor Chips: Motivation & Challenges

Multi-Core Microprocessor Chips: Motivation & Challenges Multi-Core Microprocessor Chips: Motivation & Challenges Dileep Bhandarkar, Ph. D. Architect at Large DEG Architecture & Planning Digital Enterprise Group Intel Corporation October 2005 Copyright 2005

More information

Agenda. Thesis Industry and Company Overview Product Pipeline and Drivers Competition Risks Q&A DCF- Bitching time

Agenda. Thesis Industry and Company Overview Product Pipeline and Drivers Competition Risks Q&A DCF- Bitching time Agenda Thesis Industry and Company Overview Product Pipeline and Drivers Competition Risks Q&A DCF- Bitching time Thesis Widening Technological Edge Expanding Portfolio of Products Near-Term Focus, Long-Term

More information

Common Platform Ecosystem Enablement

Common Platform Ecosystem Enablement Joe Abler Common Platform Ecosystem Enablement IBM provides a complete Foundry solution Innovative technology Leadership road map with advanced SiGe & RF offerings Leading-edge CMOS process development

More information

IMPROVES. Initial Investment is Low Compared to SoC Performance and Cost Benefits

IMPROVES. Initial Investment is Low Compared to SoC Performance and Cost Benefits NOC INTERCONNECT IMPROVES SOC ECONO CONOMICS Initial Investment is Low Compared to SoC Performance and Cost Benefits A s systems on chip (SoCs) have interconnect, along with its configuration, verification,

More information

Total IP Solution for Mobile Storage UFS & NAND Controllers

Total IP Solution for Mobile Storage UFS & NAND Controllers Total IP Solution for Mobile Storage UFS & NAND Controllers Yuping Chung Arasan Chip Systems San Jose, CA Mobile Forum Taiwan & Korea 2012 Fast Growing NAND Storage Markets GB(M) 15 10 5 Mobile SSD Tablet

More information

Designing with External Flash Memory on Renesas Platforms

Designing with External Flash Memory on Renesas Platforms Designing with External Flash Memory on Renesas Platforms Douglas Crane, Segment Manager Micron Technology Class ID: CL23A Renesas Electronics America Inc. Douglas Crane Doug is a 27 year veteran in the

More information

Sean Maloney Executive Vice President

Sean Maloney Executive Vice President Sean Maloney Executive Vice President Faster Ramps With Each Generation Millions of Die 32 nm 45 nm 65 nm 90 nm >50% Reduction in time to ramp from 90nm to 32 nm And 22 nm will be faster! 1 5 10 15 20

More information

DesignWare IP for IoT SoC Designs

DesignWare IP for IoT SoC Designs DesignWare IP for IoT SoC Designs The Internet of Things (IoT) is connecting billions of intelligent things at our fingertips. The ability to sense countless amounts of information that communicates to

More information

Samsung Electronics Announces First Quarter Results

Samsung Electronics Announces First Quarter Results Samsung Electronics Announces First Quarter Results Registers net profit of KRW 7.68 trillion on sales of KRW 50.55 trillion 1Q consolidated operating profit reaches KRW 9.90 trillion SEOUL, Korea April

More information

DSP. Mike Hames. Senior Vice President Application-Specific Products

DSP. Mike Hames. Senior Vice President Application-Specific Products Mike Hames Senior Vice President Application-Specific Products Breadth of Applications Drive Market Growth 15 Programmable Market 13% CAGR 7 6 Programmable Market Share 1 5 22 23 24 25 26 $B % 4 5 3 2

More information

AMD Opteron Processors In the Cloud

AMD Opteron Processors In the Cloud AMD Opteron Processors In the Cloud Pat Patla Vice President Product Marketing AMD DID YOU KNOW? By 2020, every byte of data will pass through the cloud *Source IDC 2 AMD Opteron In The Cloud October,

More information

Rich Sevcik Executive Vice President, Xilinx APAC: RS _January 05

Rich Sevcik Executive Vice President, Xilinx APAC: RS _January 05 System on a Chip Technologies Rich Sevcik Executive Vice President, Xilinx A Top-Ranking Company Forbes Best Managed Semiconductor Company (2004) FORTUNE Best Companies to Work For (2001-2004) Highest

More information

Silicon Motion Technology Corporation

Silicon Motion Technology Corporation Silicon Motion Technology Corporation Silicon for Mobile Information Investor Presentation March 2006 Safe Harbor Statement This presentation does not constitute or form part of any offer for sale or subscription

More information

Introducing the FX-14 ASIC Design System. Embargoed until November 10, 2015

Introducing the FX-14 ASIC Design System. Embargoed until November 10, 2015 Introducing the FX-14 ASIC Design System Embargoed until November 10, 2015 Market Forces Are Driving Need for a New Breed of Semiconductor By 2019: Bandwidth Roughly one million minutes of video will cross

More information

Kaufman Brothers 13 th Annual Investor Conference

Kaufman Brothers 13 th Annual Investor Conference Kaufman Brothers 13 th Annual Investor Conference Craig DeYoung, VP Investor Relations New York, New York September 14, 21 / Slide 1 Safe Harbor "Safe Harbor" Statement under the US Private Securities

More information

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape Edition April 2017 Semiconductor technology & processing 3D systems-on-chip A clever partitioning of circuits to improve area, cost, power and performance. In recent years, the technology of 3D integration

More information

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends EE4 - Spring 008 Advanced Digital Integrated Circuits Lecture : Scaling Trends Announcements No office hour next Monday Extra office hours Tuesday and Thursday -3pm CMOS Scaling Rules Voltage, V / α tox/α

More information

Toward a Memory-centric Architecture

Toward a Memory-centric Architecture Toward a Memory-centric Architecture Martin Fink EVP & Chief Technology Officer Western Digital Corporation August 8, 2017 1 SAFE HARBOR DISCLAIMERS Forward-Looking Statements This presentation contains

More information

NAND Flash Memory. Jinkyu Jeong Computer Systems Laboratory Sungkyunkwan University

NAND Flash Memory. Jinkyu Jeong Computer Systems Laboratory Sungkyunkwan University NAND Flash Memory Jinkyu Jeong (Jinkyu@skku.edu) Computer Systems Laboratory Sungkyunkwan University http://csl.skku.edu ICE3028: Embedded Systems Design, Fall 2018, Jinkyu Jeong (jinkyu@skku.edu) Flash

More information

Microelettronica. J. M. Rabaey, "Digital integrated circuits: a design perspective" EE141 Microelettronica

Microelettronica. J. M. Rabaey, Digital integrated circuits: a design perspective EE141 Microelettronica Microelettronica J. M. Rabaey, "Digital integrated circuits: a design perspective" Introduction Why is designing digital ICs different today than it was before? Will it change in future? The First Computer

More information

Building blocks for 64-bit Systems Development of System IP in ARM

Building blocks for 64-bit Systems Development of System IP in ARM Building blocks for 64-bit Systems Development of System IP in ARM Research seminar @ University of York January 2015 Stuart Kenny stuart.kenny@arm.com 1 2 64-bit Mobile Devices The Mobile Consumer Expects

More information

Homeschool Enrichment. The System Unit: Processing & Memory

Homeschool Enrichment. The System Unit: Processing & Memory Homeschool Enrichment The System Unit: Processing & Memory Overview This chapter covers: How computers represent data and programs How the CPU, memory, and other components are arranged inside the system

More information

PUSHING THE LIMITS, A PERSPECTIVE ON ROUTER ARCHITECTURE CHALLENGES

PUSHING THE LIMITS, A PERSPECTIVE ON ROUTER ARCHITECTURE CHALLENGES PUSHING THE LIMITS, A PERSPECTIVE ON ROUTER ARCHITECTURE CHALLENGES Greg Hankins APRICOT 2012 2012 Brocade Communications Systems, Inc. 2012/02/28 Lookup Capacity and Forwarding

More information

SYSTEM BUS AND MOCROPROCESSORS HISTORY

SYSTEM BUS AND MOCROPROCESSORS HISTORY SYSTEM BUS AND MOCROPROCESSORS HISTORY Dr. M. Hebaishy momara@su.edu.sa http://colleges.su.edu.sa/dawadmi/fos/pages/hebaishy.aspx Digital Logic Design Ch1-1 SYSTEM BUS The CPU sends various data values,

More information

Introduction to Microprocessor

Introduction to Microprocessor Introduction to Microprocessor Slide 1 Microprocessor A microprocessor is a multipurpose, programmable, clock-driven, register-based electronic device That reads binary instructions from a storage device

More information

A 50% Lower Power ARM Cortex CPU using DDC Technology with Body Bias. David Kidd August 26, 2013

A 50% Lower Power ARM Cortex CPU using DDC Technology with Body Bias. David Kidd August 26, 2013 A 50% Lower Power ARM Cortex CPU using DDC Technology with Body Bias David Kidd August 26, 2013 1 HOTCHIPS 2013 Copyright 2013 SuVolta, Inc. All rights reserved. Agenda DDC transistor and PowerShrink platform

More information

Memory Industry Dynamics & Market Drivers Memory Market Segments Memory Products Dynamics Memory Status 2007 Key Challenges for 2008 Future Trends

Memory Industry Dynamics & Market Drivers Memory Market Segments Memory Products Dynamics Memory Status 2007 Key Challenges for 2008 Future Trends TOC Memory Industry Dynamics & Market Drivers Memory Market a significant portion of Semi business Memory Market Forecast Industry Dynamics & Impact on Memory Market Key drivers for Memory growth Memory

More information

Accelerating the RISC-V Revolution: Unleashing Custom Silicon with Revolutionary Design Platforms and Custom Accelerators

Accelerating the RISC-V Revolution: Unleashing Custom Silicon with Revolutionary Design Platforms and Custom Accelerators Accelerating the RISC-V Revolution: Unleashing Custom Silicon with Revolutionary Design Platforms and Custom Accelerators Huzefa Cutlerywala, VP Sales and Tech Solutions July 18th, 2018 How did turn into

More information

Memory Industry Report T A B L E O F C O N T E N T S

Memory Industry Report T A B L E O F C O N T E N T S Memory Industry Report T A B L E O F C O N T E N T S Executive Summary 1 MEMORY INDUSTRY DYNAMICS & MARKET DRIVERS...3 Memory Market a significant portion of Semi business...3 Memory Market Forecast...3

More information

CADENCE DESIGN SYSTEMS, INC. Second Quarter 2018 Financial Results Conference Call

CADENCE DESIGN SYSTEMS, INC. Second Quarter 2018 Financial Results Conference Call Page 1 CADENCE DESIGN SYSTEMS, INC. Second Quarter 2018 Financial Results Conference Call Prepared Remarks of Lip-Bu Tan, Chief Executive Officer and John Wall, Senior Vice President and Chief Financial

More information