Chapter 7. Storage Components

Size: px
Start display at page:

Download "Chapter 7. Storage Components"

Transcription

1 7. Storage Components 7- Chapter 7. Storage Components ntroduction Storage components store data and perform simple data transformations, such as counting and shifting. Registers, counters, register files, memories, etc. Register: a group of binary cells (FFs) suitable for holding binary information. n addition to the FFs, a register may have combinational gates that control when and how new information is transferred into the register. Counter: a register that goes through a predetermined sequence of states upon the application of input pulses. The gates in a counter are connected in such a way as to produce a prescribed sequence of binary states in the register. Memory unit: a collection of storage cells together with associated circuits needed to transfer information in and out of storage. For example, SRM & RM. Registers register can be viewed as a bitwise extension of a FF. The simplest of the storage components: n inputs, n outputs, and a clock signal. cfl Cheng-Wen Wu, Lab for Reliable Computing (LaRC),, NTHU 5

2 7. Storage Components 7- ll the n FFs are driven by the common clock signal. Registers are readily available as MS circuits, it becomes convenient at times to employ a register as part of the sequential circuit. The combinationalcircuit part of the sequential circuit can be implemented by any of the methods discussed in Chapters 4 & 5. -FFs are normally used for registers. The register may be enhanced by asynchronous Preset and Clear () signals, which are not controlled by the clock signal. Register (a) Graphic symbol (b) Register schematic Figure : 4-bit register [Gajski]. cfl Cheng-Wen Wu, Lab for Reliable Computing (LaRC),, NTHU 5

3 7. Storage Components 7- preet clear Register (a) Graphic symbol preset clear (b) Register schematic Figure : 4-bit register with asynchronous Preset and Clear [Gajski]. cfl Cheng-Wen Wu, Lab for Reliable Computing (LaRC),, NTHU 5

4 7. Storage Components 7-4 To be able to control when the data will be entered into a register, and for how long it will be stored there before being sent to the output, we add the Load (nable) input to form a parallel-load register. Load Register Present state Load Next state No change (a) Graphic symbol (b) Operation table Load Y Y Y Y (c) Register schematic Figure : Register with parallel load [Gajski]. cfl Cheng-Wen Wu, Lab for Reliable Computing (LaRC),, NTHU 5

5 7. Storage Components 7-5 Shift Registers shift register can shift the stored data right and/or left. Shift L Shift Register Present Next state state Shift No change L (a) Graphic symbol (b) Operation table L Shift Y Y Y Y (c) Register schematic Present state Operation S S Next state S S R L Shift Register No change Load input Shift left R Shift right L (a) Graphic symbol (b) Operation table L R S S Y Y Y Y (c) Register schematic Figure 4: Shift registers [Gajski]. cfl Cheng-Wen Wu, Lab for Reliable Computing (LaRC),, NTHU 5

6 7. Storage Components 7-6 Counters counter is a special type of register that counts upward, downward, or in any prespecified sequence. Clear Counter Operations No change Count i C i C i+ i (a) Graphic symbol (b) Operation table (c) H truth table C4 C C C C H H H H Clear Output carry (d) Counter schematic i C i C i+ i Up/own Counter Clear Operations No change Count up Count down (a) Graphic symbol (b) Operation table (c) HS truth table C 4 C C C C HS HS HS HS Clear Output carry (d) Logic schematic Figure 5: Binary up and up/down counters [Gajski]. cfl Cheng-Wen Wu, Lab for Reliable Computing (LaRC),, NTHU 5

7 7. Storage Components 7-7 Load Operations Load Up/own Counter No change Count up Count down Load the input (a) Graphic symbol (b) Operation table HS HS HS HS Load Output carry Y Y Y Y (c) Register schematic Figure 6: Binary up/down counter with parallel load [Gajski]. cfl Cheng-Wen Wu, Lab for Reliable Computing (LaRC),, NTHU 5

8 7. Storage Components 7-8 BC Counter BC counter counts in the sequence,,,, 4, 5, 6, 7, 8, 9,,... " " " " "" "" "" "" "" Load Up/own Counter "" Load Up/own Counter (a) BC up counter (b) BC up/down counter Figure 7: BC counters [Gajski]. synchronous Counter n asynchronouscounter counts without an incrementer or decrementer its FFs are not clocked by the same signal. Counting without an incrementer/decrementer is achieved by toggling each FF at half the frequency of the preceding FF. FF i changes state only half as often as FF i. FF i changes state only when FF i goes from to, but not from to. T-FF is very convenient for such an asynchronous counter design. The counting frequency (speed) will be limited by the number of FFs due to the linear growth of the clock-to-output delay. To speed up the counting process, we can use the mixed-mode counter. cfl Cheng-Wen Wu, Lab for Reliable Computing (LaRC),, NTHU 5

9 7. Storage Components 7-9 Clear syn. Counter (a) Graphic symbol T T T T Clear FF FF FF FF (b) Logic schematic t t t t t 4 t 5 t 6 t 7 (c) Timing diagram Figure 8: synchronous up-counter [Gajski]. cfl Cheng-Wen Wu, Lab for Reliable Computing (LaRC),, NTHU 5

10 7. Storage Components 7- nable syn. Counter syn. Counter Clear Clear (a) Synchronous counter with 4 bit asynchronous slices nable Syn. Counter Syn. Counter Clear Clear (b) synchronous counter with 4 bit synchronous slices Figure 9: Mixed-mode up-counter [Gajski]. Register Files register file has n registers of m FFs each. The registers are arranged as a -dimensional array of register-file cells (s). n addition, it has read/write decoders and output driving logic. Writing is controlled by the Write-nable (W) signal. t any time, we can write into only one register (row), unless it has multiple write ports. Reading is controlled by the Read-nable (R) signal. t any time, we can read from only one register, unless it has multiple read ports. Reading from and writing into the same register at the same time normally is not allowed. cfl Cheng-Wen Wu, Lab for Reliable Computing (LaRC),, NTHU 5

11 7. Storage Components 7- The primary advantage of a register file is regularity, which reduces routing (wiring) complexity. Write select m nput Output W W n RF n m n R R Read select m (a) Register file cell O (b) Graphic symbol to 4 read decoder R R W W R W to 4 write decoder O O O O (c) Logic Schematic Figure : Register file with write port and read port [Gajski]. cfl Cheng-Wen Wu, Lab for Reliable Computing (LaRC),, NTHU 5

12 7. Storage Components 7- Write select m nput Out OutB W W n RF n x m n n R R RB RB (a) Register file cell Read select (port ) Read select (port B) m m B (b) Graphic symbol W W W RB RB R RB R R to 4 read decoder to 4 read decoder to 4 write decoder B B B B (c) Logic Schematic Figure : Register file with write port and read port [Gajski]. cfl Cheng-Wen Wu, Lab for Reliable Computing (LaRC),, NTHU 5

13 7. Storage Components 7- Random ccess Memories (RMs) RM is organized as an array of n rows with m bits stored in each row. The size of the RM is n m bits it has n address lines, m input data lines, and m output data lines (see Fig. ). The input data lines can be the same with the output data lines, i.e., the data lines can be bidirectional. For a commodity RM, 6» n»,andm =, 4, 8, 6, r. memory cell () can be considered as a clocked latch with an N gate and an output driver (see Fig. (a)). For a static RM (SRM), is constructed by 6 transistors, using cross-coupled inverters to serve as a latch, and implementing the input N gate and the output driver with one transistor each. For a dynamic RM (RM), is constructed by only transistor. The latch is implemented by a capacitor. t needs to be refreshed periodically. t has high density (therefore low cost). The RM also has a Chip-Select () input and a Read/Write Select () input (see Fig. (b)). The RW S input sometimes is denoted as R =W. Both SRM and RM are volatile memories, i.e., their content is lost if the power is shut down. ROM, PROM, PROM, PROM, and flash memories are nonvolatile. The delay time from address input to data output (t t in Fig. 4) is the memory access time. The address/data setup time and hold time are shown in Fig. 4. We can connect several memory chips to get one of longer words (Fig. 5), or connect several memory chips to get one with more words (Fig. 6). cfl Cheng-Wen Wu, Lab for Reliable Computing (LaRC),, NTHU 5

14 7. Storage Components 7-4 Memory address Memory content Binary ecimal n n m bits (a) Memory address and content m n n n x m RM n x m RM O m O O /O m /O /O (b) Graphic symbols Figure : Random-access memory (RM) [Gajski]. cfl Cheng-Wen Wu, Lab for Reliable Computing (LaRC),, NTHU 5

15 7. Storage Components 7-5 Row select nput Output C Write enable (a) Memory cell to 4 address decoder Write enable O O O O (b) Memory schematic Figure : RM organization [Gajski]. cfl Cheng-Wen Wu, Lab for Reliable Computing (LaRC),, NTHU 5

16 7. Storage Components 7-6 ddress Valid address ata Output enable time Valid data Output disable time ccess time Output hold time t t t t t 4 t 5 (a) Read cycle timing ddress Valid address ata Valid data ddress setup time ata setup time Write pulse width ddress hold time ata hold time t t t t t 4 t 5 (b) Write cycle timing Figure 4: RM timing [Gajski]. cfl Cheng-Wen Wu, Lab for Reliable Computing (LaRC),, NTHU 5

17 7. Storage Components 7-7 nput bus M M M M O O O O Output bus Figure 5: 6K RM design using 6K 8 RMs [Gajski]. cfl Cheng-Wen Wu, Lab for Reliable Computing (LaRC),, NTHU 5

18 7. Storage Components 7-8 nput bus 4 to 4 ecoder M O M O M O M O Output bus Figure 6: 64K 8 RM design using 6K 8 RMs [Gajski]. cfl Cheng-Wen Wu, Lab for Reliable Computing (LaRC),, NTHU 5

19 7. Storage Components 7-9 *Push-own Stacks push-down stack (or simply stack) is a memory component with limited access data can be accessed through only one location (i.e., the top of the stack). When data is to be stored, it is pushed on the stack and stays on top of others. When data is to be fetched, it has to be in the top position before it can be popped out of the stack. stack can be implemented by shift registers, with an up-down counter to detect full/ stack as shown in Fig. 8. t can also be implemented by a RM less expensive for a large stack, but need two pointers (implemented by counters) as shown in Fig Top Top 4 Top Top (a) Stack content before 45 is pushed down (b) Stack content after 45 is pushed down (c) Stack content after 45 is popped up Figure 7: Push-down stack operations [Gajski]. cfl Cheng-Wen Wu, Lab for Reliable Computing (LaRC),, NTHU 5

20 7. Storage Components 7- Push/Pop (a) Operation table Shift register controls Counter Counter controls outputs nable Operations No change Push Push/Pop nable S S Pop (b) Control table mpty (c) Output table Full N "" S S L SRwPL R N m "" OUT S S L SRwPL R Push/Pop OUT m nable Control logic Set Up own Counter mpty Output logic Full (d) Stack schematic Figure 8: 4-word stack implemented by shift registers [Gajski]. cfl Cheng-Wen Wu, Lab for Reliable Computing (LaRC),, NTHU 5

21 7. Storage Components 7- data data Top Top Push/Pop Memory Counter control controls controls nable Operations Push/Pop nable S No change Push Pop (a) Symbolic design (a) Operation table (b) Control table Top Set Top Push/Pop S nable K RM Control logic /O bus Output logic mpty Full (c) Stack schematic Figure 9: 4-word stack implemented by RM [Gajski]. cfl Cheng-Wen Wu, Lab for Reliable Computing (LaRC),, NTHU 5

22 7. Storage Components 7- *First-in-First-out ueue first-in-first-out (FFO) queue (or simply queue or FFO) is a memory component with limited access data can be written through only the head (front) of the queue and read (and removed) through only the tail (back) of the queue. queue can be implemented by shift registers, with an up-down counter to detect full/ queue as shown in Fig.. t can also be implemented by a RM less expensive for a large queue, but need two pointers (implemented by counters) as shown in Fig.. 45 Top Top 45 Top Top 4 (a) ueue content before 45 is stored (b) ueue content after 45 is stored (c) ueue content after is read Figure : FFO queue operations [Gajski]. cfl Cheng-Wen Wu, Lab for Reliable Computing (LaRC),, NTHU 5

23 7. Storage Components 7- Read/Write nable Operations Read/Write nable S S No change Read Write (a) Operation table (b) Control table N S S L SRwPL R N m Read/ Write nable Control logic "" S S Set L SRwPL R Up own Counter S S S S OUT OUT m Full Output logic mpty (c) ueue schematic Figure : 4-word queue implemented by shift registers [Gajski]. cfl Cheng-Wen Wu, Lab for Reliable Computing (LaRC),, NTHU 5

24 7. Storage Components 7-4 data data... data Front Back Read/Write nable Operations No change Read Write Read/Write nable S (Front) (Back) (a) Symbolic design (b) Operation table (c) Control table Front Back Comparator < = > S nable Read/Write K RM /O bus mpty Full (d) Schematic Figure : 4-word queue implemented by RM [Gajski]. cfl Cheng-Wen Wu, Lab for Reliable Computing (LaRC),, NTHU 5

25 7. Storage Components 7-5 Simple atapaths atapaths are used in all standard CPU and SC implementations to perform complex numerical computation or data manipulations; a datapath consists of temporary storage in addition to arithmetic, logic, and shift units. xample ssume we want to perform the summation of numbers: sum = P i= x i: We can use the datapath as shown in Fig. to implement the following algorithm: sum=; for(i=; i<=; i++) sum=sum+x[i]; nput O 8 S M S S LU B 4 S S L ccumulator R (a) atapath schematic nput select LU controls Shift values ccumulator controls Out enable (b) Control word Figure : Simple datapath with one accumulator [Gajski]. cfl Cheng-Wen Wu, Lab for Reliable Computing (LaRC),, NTHU 5

26 7. Storage Components 7-6 nport 9 S M S S LU Operations W W R R Bus 8 x m Register File Bus B RB RB complement N OR OR decrement add subtract inrement (b) Table of LU operations M S S S S S L LU Shifter B "" "" R S S S Shift Operations pass pass not used not used shift left rotate left shift right rotate right Result Bus (c) Table of shifter operations Outport (a) atapath schematic Write address Read address Read address B LU operation Shifter operation O (d) Control word Figure 4: atapath with -port register file [Gajski]. cfl Cheng-Wen Wu, Lab for Reliable Computing (LaRC),, NTHU 5

27 7. Storage Components 7-7. ata := nport. Ocount :=. Mask := while ata := repeat 4. Temp := ata N Mask 5. Ocount := Ocount + Temp 6. ata := ata >> end while 7. Outport := Ocount (a) Basic algorithm for one s count R: ata R: Mask R: Ocount R4: Temp (b) Register assignment Control Words Write address Read address Read address B LU operation Shifter operation O R R add pass R4 R R R R R R R4 N add add pass pass shift right } Repeated while ata = R increment pass 7 none R add pass (c) Control words for one s counter Figure 5: One s-count algorithm [Gajski]. cfl Cheng-Wen Wu, Lab for Reliable Computing (LaRC),, NTHU 5

UNIT - V MEMORY P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

UNIT - V MEMORY P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT UNIT - V MEMORY P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) contents Memory: Introduction, Random-Access memory, Memory decoding, ROM, Programmable Logic Array, Programmable Array Logic, Sequential programmable

More information

Chapter 6 (Lect 3) Counters Continued. Unused States Ring counter. Implementing with Registers Implementing with Counter and Decoder

Chapter 6 (Lect 3) Counters Continued. Unused States Ring counter. Implementing with Registers Implementing with Counter and Decoder Chapter 6 (Lect 3) Counters Continued Unused States Ring counter Implementing with Registers Implementing with Counter and Decoder Sequential Logic and Unused States Not all states need to be used Can

More information

UNIT-III REGISTER TRANSFER LANGUAGE AND DESIGN OF CONTROL UNIT

UNIT-III REGISTER TRANSFER LANGUAGE AND DESIGN OF CONTROL UNIT UNIT-III 1 KNREDDY UNIT-III REGISTER TRANSFER LANGUAGE AND DESIGN OF CONTROL UNIT Register Transfer: Register Transfer Language Register Transfer Bus and Memory Transfers Arithmetic Micro operations Logic

More information

Dec Hex Bin ORG ; ZERO. Introduction To Computing

Dec Hex Bin ORG ; ZERO. Introduction To Computing Dec Hex Bin 0 0 00000000 ORG ; ZERO Introduction To Computing OBJECTIVES this chapter enables the student to: Convert any number from base 2, base 10, or base 16 to any of the other two bases. Add and

More information

Chapter 1: Basics of Microprocessor [08 M]

Chapter 1: Basics of Microprocessor [08 M] Microprocessor: Chapter 1: Basics of Microprocessor [08 M] It is a semiconductor device consisting of electronic logic circuits manufactured by using either a Large scale (LSI) or Very Large Scale (VLSI)

More information

Computer Organization and Assembly Language (CS-506)

Computer Organization and Assembly Language (CS-506) Computer Organization and Assembly Language (CS-506) Muhammad Zeeshan Haider Ali Lecturer ISP. Multan ali.zeeshan04@gmail.com https://zeeshanaliatisp.wordpress.com/ Lecture 2 Memory Organization and Structure

More information

CHAPTER 5 : Introduction to Intel 8085 Microprocessor Hardware BENG 2223 MICROPROCESSOR TECHNOLOGY

CHAPTER 5 : Introduction to Intel 8085 Microprocessor Hardware BENG 2223 MICROPROCESSOR TECHNOLOGY CHAPTER 5 : Introduction to Intel 8085 Hardware BENG 2223 MICROPROCESSOR TECHNOLOGY The 8085A(commonly known as the 8085) : Was first introduced in March 1976 is an 8-bit microprocessor with 16-bit address

More information

Memory Arrays. Array Architecture. Chapter 16 Memory Circuits and Chapter 12 Array Subsystems from CMOS VLSI Design by Weste and Harris, 4 th Edition

Memory Arrays. Array Architecture. Chapter 16 Memory Circuits and Chapter 12 Array Subsystems from CMOS VLSI Design by Weste and Harris, 4 th Edition Chapter 6 Memory Circuits and Chapter rray Subsystems from CMOS VLSI Design by Weste and Harris, th Edition E E 80 Introduction to nalog and Digital VLSI Paul M. Furth New Mexico State University Static

More information

COA. Prepared By: Dhaval R. Patel Page 1. Q.1 Define MBR.

COA. Prepared By: Dhaval R. Patel Page 1. Q.1 Define MBR. Q.1 Define MBR. MBR( Memory buffer register) A Memory Buffer Register (MBR) is the register in a computers processor that stores the data being transferred to and from the devices It allowing the processor

More information

Microcomputer Architecture and Programming

Microcomputer Architecture and Programming IUST-EE (Chapter 1) Microcomputer Architecture and Programming 1 Outline Basic Blocks of Microcomputer Typical Microcomputer Architecture The Single-Chip Microprocessor Microprocessor vs. Microcontroller

More information

Computer Architecture

Computer Architecture Computer Architecture Lecture 1: Digital logic circuits The digital computer is a digital system that performs various computational tasks. Digital computers use the binary number system, which has two

More information

The CPU and Memory. How does a computer work? How does a computer interact with data? How are instructions performed? Recall schematic diagram:

The CPU and Memory. How does a computer work? How does a computer interact with data? How are instructions performed? Recall schematic diagram: The CPU and Memory How does a computer work? How does a computer interact with data? How are instructions performed? Recall schematic diagram: 1 Registers A register is a permanent storage location within

More information

1 MALP ( ) Unit-1. (1) Draw and explain the internal architecture of 8085.

1 MALP ( ) Unit-1. (1) Draw and explain the internal architecture of 8085. (1) Draw and explain the internal architecture of 8085. The architecture of 8085 Microprocessor is shown in figure given below. The internal architecture of 8085 includes following section ALU-Arithmetic

More information

Unit 6 1.Random Access Memory (RAM) Chapter 3 Combinational Logic Design 2.Programmable Logic

Unit 6 1.Random Access Memory (RAM) Chapter 3 Combinational Logic Design 2.Programmable Logic EE 200: Digital Logic Circuit Design Dr Radwan E Abdel-Aal, COE Unit 6.Random Access Memory (RAM) Chapter 3 Combinational Logic Design 2. Logic Logic and Computer Design Fundamentals Part Implementation

More information

Section 6. Memory Components Chapter 5.7, 5.8 Physical Implementations Chapter 7 Programmable Processors Chapter 8

Section 6. Memory Components Chapter 5.7, 5.8 Physical Implementations Chapter 7 Programmable Processors Chapter 8 Section 6 Memory Components Chapter 5.7, 5.8 Physical Implementations Chapter 7 Programmable Processors Chapter 8 Types of memory Two major types of memory Volatile When power to the device is removed

More information

COMPUTER ARCHITECTURE AND ORGANIZATION Register Transfer and Micro-operations 1. Introduction A digital system is an interconnection of digital

COMPUTER ARCHITECTURE AND ORGANIZATION Register Transfer and Micro-operations 1. Introduction A digital system is an interconnection of digital Register Transfer and Micro-operations 1. Introduction A digital system is an interconnection of digital hardware modules that accomplish a specific information-processing task. Digital systems vary in

More information

Address connections Data connections Selection connections

Address connections Data connections Selection connections Interface (cont..) We have four common types of memory: Read only memory ( ROM ) Flash memory ( EEPROM ) Static Random access memory ( SARAM ) Dynamic Random access memory ( DRAM ). Pin connections common

More information

ENGR 303 Introduction to Logic Design Lecture 7. Dr. Chuck Brown Engineering and Computer Information Science Folsom Lake College

ENGR 303 Introduction to Logic Design Lecture 7. Dr. Chuck Brown Engineering and Computer Information Science Folsom Lake College Introduction to Logic Design Lecture 7 Dr. Chuck Brown Engineering and Computer Information Science Folsom Lake College Outline for Todays Lecture Shifter Multiplier / Divider Memory Shifters Logical

More information

Lecture 2 Microcomputer Organization: Fig.1.1 Basic Components of Microcomputer

Lecture 2 Microcomputer Organization: Fig.1.1 Basic Components of Microcomputer Lecture 2 Microcomputer Organization: As discussed in previous lecture microprocessor is a central processing unit (CPU) with its related timing functions on a single chip. A microprocessor combined with

More information

Reference Sheet for C112 Hardware

Reference Sheet for C112 Hardware Reference Sheet for C112 Hardware 1 Boolean Algebra, Gates and Circuits Autumn 2016 Basic Operators Precedence : (strongest),, + (weakest). AND A B R 0 0 0 0 1 0 1 0 0 1 1 1 OR + A B R 0 0 0 0 1 1 1 0

More information

CSEE 3827: Fundamentals of Computer Systems. Storage

CSEE 3827: Fundamentals of Computer Systems. Storage CSEE 387: Fundamentals of Computer Systems Storage The big picture General purpose processor (e.g., Power PC, Pentium, MIPS) Internet router (intrusion detection, pacet routing, etc.) WIreless transceiver

More information

History and Basic Processor Architecture

History and Basic Processor Architecture History and Basic Processor Architecture History of Computers Module 1 Section 1 What Is a Computer? An electronic machine, operating under the control of instructions stored in its own memory, that can

More information

Memory and Programmable Logic

Memory and Programmable Logic Memory and Programmable Logic Mano & Ciletti Chapter 7 By Suleyman TOSUN Ankara University Outline RAM Memory decoding Error detection and correction ROM Programmable Logic Array (PLA) Programmable Array

More information

ENGIN 112 Intro to Electrical and Computer Engineering

ENGIN 112 Intro to Electrical and Computer Engineering ENGIN 112 Intro to Electrical and Computer Engineering Lecture 30 Random Access Memory (RAM) Overview Memory is a collection of storage cells with associated input and output circuitry Possible to read

More information

UNIT V (PROGRAMMABLE LOGIC DEVICES)

UNIT V (PROGRAMMABLE LOGIC DEVICES) UNIT V (PROGRAMMABLE LOGIC DEVICES) Introduction There are two types of memories that are used in digital systems: Random-access memory(ram): perform both the write and read operations. Read-only memory(rom):

More information

M. Sc (CS) (II Semester) Examination, Subject: Computer System Architecture Paper Code: M.Sc-CS-203. Time: Three Hours] [Maximum Marks: 60

M. Sc (CS) (II Semester) Examination, Subject: Computer System Architecture Paper Code: M.Sc-CS-203. Time: Three Hours] [Maximum Marks: 60 M. Sc (CS) (II Semester) Examination, 2012-13 Subject: Computer System Architecture Paper Code: M.Sc-CS-203 Time: Three Hours] [Maximum Marks: 60 Note: Question Number 1 is compulsory. Answer any four

More information

Computer Architecture Programming the Basic Computer

Computer Architecture Programming the Basic Computer 4. The Execution of the EXCHANGE Instruction The EXCHANGE routine reads the operand from the effective address and places it in DR. The contents of DR and AC are interchanged in the third microinstruction.

More information

CS 31: Intro to Systems Digital Logic

CS 31: Intro to Systems Digital Logic CS 3: Intro to Systems Digital Logic Martin Gagné Swarthmore College January 3, 27 You re going to want scratch papr today borrow some if needed. Quick nnouncements Late Policy Reminder 3 late days total

More information

Memories. Design of Digital Circuits 2017 Srdjan Capkun Onur Mutlu.

Memories. Design of Digital Circuits 2017 Srdjan Capkun Onur Mutlu. Memories Design of Digital Circuits 2017 Srdjan Capkun Onur Mutlu http://www.syssec.ethz.ch/education/digitaltechnik_17 Adapted from Digital Design and Computer Architecture, David Money Harris & Sarah

More information

Logic and Computer Design Fundamentals. Chapter 8 Memory Basics

Logic and Computer Design Fundamentals. Chapter 8 Memory Basics Logic and Computer Design Fundamentals Memory Basics Overview Memory definitions Random Access Memory (RAM) Static RAM (SRAM) integrated circuits Arrays of SRAM integrated circuits Dynamic RAM (DRAM) Read

More information

Microprocessors/Microcontrollers

Microprocessors/Microcontrollers Microprocessors/Microcontrollers A central processing unit (CPU) fabricated on one or more chips, containing the basic arithmetic, logic, and control elements of a computer that are required for processing

More information

COMP3221: Microprocessors and. and Embedded Systems. Overview. Lecture 23: Memory Systems (I)

COMP3221: Microprocessors and. and Embedded Systems. Overview. Lecture 23: Memory Systems (I) COMP3221: Microprocessors and Embedded Systems Lecture 23: Memory Systems (I) Overview Memory System Hierarchy RAM, ROM, EPROM, EEPROM and FLASH http://www.cse.unsw.edu.au/~cs3221 Lecturer: Hui Wu Session

More information

Introduction to general architectures of 8 and 16 bit micro-processor and micro-controllers

Introduction to general architectures of 8 and 16 bit micro-processor and micro-controllers Introduction to general architectures of 8 and 16 bit micro-processor and micro-controllers A microcontroller is a microprocessor with inbuilt peripherals.a microcontroller can also be compared with a

More information

CC411: Introduction To Microprocessors

CC411: Introduction To Microprocessors CC411: Introduction To Microprocessors OBJECTIVES this chapter enables the student to: Use number { base 2, base 10, or base 16 }. Add and subtract binary/hex numbers. Represent any binary number in 2

More information

CHAPTER TWELVE - Memory Devices

CHAPTER TWELVE - Memory Devices CHAPTER TWELVE - Memory Devices 12.1 6x1,024 = 16,384 words; 32 bits/word; 16,384x32 = 524,288 cells 12.2 16,384 addresses; one per word. 12.3 2 16 = 65,536 words = 64K. Thus, memory capacity is 64Kx4.

More information

Concept of Memory. The memory of computer is broadly categories into two categories:

Concept of Memory. The memory of computer is broadly categories into two categories: Concept of Memory We have already mentioned that digital computer works on stored programmed concept introduced by Von Neumann. We use memory to store the information, which includes both program and data.

More information

Chapter 8 Memory Basics

Chapter 8 Memory Basics Logic and Computer Design Fundamentals Chapter 8 Memory Basics Charles Kime & Thomas Kaminski 2008 Pearson Education, Inc. (Hyperlinks are active in View Show mode) Overview Memory definitions Random Access

More information

Memory Supplement for Section 3.6 of the textbook

Memory Supplement for Section 3.6 of the textbook The most basic -bit memory is the SR-latch with consists of two cross-coupled NOR gates. R Recall the NOR gate truth table: A S B (A + B) The S stands for Set to remember, and the R for Reset to remember.

More information

University of Technology

University of Technology University of Technology Lecturer: Dr. Sinan Majid Course Title: microprocessors 4 th year Lecture 13 Counters Overview Counters are important components in computers The increment or decrement by one

More information

Memory and Programmable Logic

Memory and Programmable Logic Memory and Programmable Logic Memory units allow us to store and/or retrieve information Essentially look-up tables Good for storing data, not for function implementation Programmable logic device (PLD),

More information

Read and Write Cycles

Read and Write Cycles Read and Write Cycles The read cycle is shown. Figure 41.1a. The RAS and CAS signals are activated one after the other to latch the multiplexed row and column addresses respectively applied at the multiplexed

More information

Chapter 1. Microprocessor architecture ECE Dr. Mohamed Mahmoud.

Chapter 1. Microprocessor architecture ECE Dr. Mohamed Mahmoud. Chapter 1 Microprocessor architecture ECE 3130 Dr. Mohamed Mahmoud The slides are copyright protected. It is not permissible to use them without a permission from Dr Mahmoud http://www.cae.tntech.edu/~mmahmoud/

More information

Good Evening! Welcome!

Good Evening! Welcome! University of Florida EEL 3701 Fall 2011 Dr Eric M Schwartz Page 1/11 Exam 2 Instructions: Turn off all cell phones, beepers and other noise making devices Show all work on the front of the test papers

More information

COSC 243. Computer Architecture 1. COSC 243 (Computer Architecture) Lecture 6 - Computer Architecture 1 1

COSC 243. Computer Architecture 1. COSC 243 (Computer Architecture) Lecture 6 - Computer Architecture 1 1 COSC 243 Computer Architecture 1 COSC 243 (Computer Architecture) Lecture 6 - Computer Architecture 1 1 Overview Last Lecture Flip flops This Lecture Computers Next Lecture Instruction sets and addressing

More information

Register-Level Design

Register-Level Design Register-Level Design A digital system can be treated at different level of abstraction or compleity. So far, we have seen it at the gate level and the transistor level. At a higher level than the gate

More information

Parallel logic circuits

Parallel logic circuits Computer Mathematics Week 9 Parallel logic circuits College of Information cience and Engineering Ritsumeikan University last week the mathematics of logic circuits the foundation of all digital design

More information

1. Internal Architecture of 8085 Microprocessor

1. Internal Architecture of 8085 Microprocessor 1. Internal Architecture of 8085 Microprocessor Control Unit Generates signals within up to carry out the instruction, which has been decoded. In reality causes certain connections between blocks of the

More information

Digital IP Cell 8-bit Microcontroller PE80

Digital IP Cell 8-bit Microcontroller PE80 1. Description The is a Z80 compliant processor soft-macro - IP block that can be implemented in digital or mixed signal ASIC designs. The Z80 and its derivatives and clones make up one of the most commonly

More information

Lecture-15 W-Z: Increment-Decrement Address Latch:

Lecture-15 W-Z: Increment-Decrement Address Latch: Lecture-15 W-Z: (W) and (Z) are two 8-bit temporary registers not accessible to the user. They are exclusively used for the internal operation by the microprocessor. These registers are used either to

More information

Topics of this Slideset. CS429: Computer Organization and Architecture. Digital Signals. Truth Tables. Logic Design

Topics of this Slideset. CS429: Computer Organization and Architecture. Digital Signals. Truth Tables. Logic Design Topics of this Slideset CS429: Computer Organization and rchitecture Dr. Bill Young Department of Computer Science University of Texas at ustin Last updated: July 5, 2018 at 11:55 To execute a program

More information

Logic design Ibn Al Haitham collage /Computer science Eng. Sameer

Logic design Ibn Al Haitham collage /Computer science Eng. Sameer DEMORGAN'S THEOREMS One of DeMorgan's theorems stated as follows: The complement of a product of variables is equal to the sum of the complements of the variables. DeMorgan's second theorem is stated as

More information

Chapter 1 Microprocessor architecture ECE 3120 Dr. Mohamed Mahmoud http://iweb.tntech.edu/mmahmoud/ mmahmoud@tntech.edu Outline 1.1 Computer hardware organization 1.1.1 Number System 1.1.2 Computer hardware

More information

Chapter 5. Digital Design and Computer Architecture, 2 nd Edition. David Money Harris and Sarah L. Harris. Chapter 5 <1>

Chapter 5. Digital Design and Computer Architecture, 2 nd Edition. David Money Harris and Sarah L. Harris. Chapter 5 <1> Chapter 5 Digital Design and Computer Architecture, 2 nd Edition David Money Harris and Sarah L. Harris Chapter 5 Chapter 5 :: Topics Introduction Arithmetic Circuits umber Systems Sequential Building

More information

EE 308: Microcontrollers

EE 308: Microcontrollers EE 308: Microcontrollers AVR Architecture Aly El-Osery Electrical Engineering Department New Mexico Institute of Mining and Technology Socorro, New Mexico, USA January 23, 2018 Aly El-Osery (NMT) EE 308:

More information

1. INTRODUCTION TO MICROPROCESSOR AND MICROCOMPUTER ARCHITECTURE:

1. INTRODUCTION TO MICROPROCESSOR AND MICROCOMPUTER ARCHITECTURE: 1. INTRODUCTION TO MICROPROCESSOR AND MICROCOMPUTER ARCHITECTURE: A microprocessor is a programmable electronics chip that has computing and decision making capabilities similar to central processing unit

More information

Injntu.com Injntu.com Injntu.com R16

Injntu.com Injntu.com Injntu.com R16 1. a) What are the three methods of obtaining the 2 s complement of a given binary (3M) number? b) What do you mean by K-map? Name it advantages and disadvantages. (3M) c) Distinguish between a half-adder

More information

EE 3170 Microcontroller Applications

EE 3170 Microcontroller Applications EE 3170 Microcontroller Applications Lecture 4 : Processors, Computers, and Controllers - 1.2 (reading assignment), 1.3-1.5 Based on slides for ECE3170 by Profs. Kieckhafer, Davis, Tan, and Cischke Outline

More information

Basics of Microprocessor

Basics of Microprocessor Unit 1 Basics of Microprocessor 1. Microprocessor Microprocessor is a multipurpose programmable integrated device that has computing and decision making capability. This semiconductor IC is manufactured

More information

Memory Overview. Overview - Memory Types 2/17/16. Curtis Nelson Walla Walla University

Memory Overview. Overview - Memory Types 2/17/16. Curtis Nelson Walla Walla University Memory Overview Curtis Nelson Walla Walla University Overview - Memory Types n n n Magnetic tape (used primarily for long term archive) Magnetic disk n Hard disk (File, Directory, Folder) n Floppy disks

More information

William Stallings Computer Organization and Architecture 6th Edition. Chapter 5 Internal Memory

William Stallings Computer Organization and Architecture 6th Edition. Chapter 5 Internal Memory William Stallings Computer Organization and Architecture 6th Edition Chapter 5 Internal Memory Semiconductor Memory Types Semiconductor Memory RAM Misnamed as all semiconductor memory is random access

More information

Chapter 5 12/2/2013. Objectives. Computer Systems Organization. Objectives. Objectives (continued) Introduction. INVITATION TO Computer Science 1

Chapter 5 12/2/2013. Objectives. Computer Systems Organization. Objectives. Objectives (continued) Introduction. INVITATION TO Computer Science 1 Chapter 5 Computer Systems Organization Objectives In this chapter, you will learn about: The components of a computer system Putting all the pieces together the Von Neumann architecture The future: non-von

More information

Introduction to Computer Science. Homework 1

Introduction to Computer Science. Homework 1 Introduction to Computer Science Homework. In each circuit below, the rectangles represent the same type of gate. Based on the input and output information given, identify whether the gate involved is

More information

Microprocessors I MICROCOMPUTERS AND MICROPROCESSORS

Microprocessors I MICROCOMPUTERS AND MICROPROCESSORS Microprocessors I Outline of the Lecture Microcomputers and Microprocessors Evolution of Intel 80x86 Family Microprocessors Binary and Hexadecimal Number Systems MICROCOMPUTERS AND MICROPROCESSORS There

More information

Random Access Memory (RAM)

Random Access Memory (RAM) Random Access Memory (RAM) EED2003 Digital Design Dr. Ahmet ÖZKURT Dr. Hakkı YALAZAN 1 Overview Memory is a collection of storage cells with associated input and output circuitry Possible to read and write

More information

Microcontrollers. Microcontroller

Microcontrollers. Microcontroller Microcontrollers Microcontroller A microprocessor on a single integrated circuit intended to operate as an embedded system. As well as a CPU, a microcontroller typically includes small amounts of RAM and

More information

Overview. Memory Classification Read-Only Memory (ROM) Random Access Memory (RAM) Functional Behavior of RAM. Implementing Static RAM

Overview. Memory Classification Read-Only Memory (ROM) Random Access Memory (RAM) Functional Behavior of RAM. Implementing Static RAM Memories Overview Memory Classification Read-Only Memory (ROM) Types of ROM PROM, EPROM, E 2 PROM Flash ROMs (Compact Flash, Secure Digital, Memory Stick) Random Access Memory (RAM) Types of RAM Static

More information

Chapter 5 Internal Memory

Chapter 5 Internal Memory Chapter 5 Internal Memory Memory Type Category Erasure Write Mechanism Volatility Random-access memory (RAM) Read-write memory Electrically, byte-level Electrically Volatile Read-only memory (ROM) Read-only

More information

Register Transfer and Micro-operations

Register Transfer and Micro-operations Register Transfer Language Register Transfer Bus Memory Transfer Micro-operations Some Application of Logic Micro Operations Register Transfer and Micro-operations Learning Objectives After reading this

More information

Semiconductor Memories: RAMs and ROMs

Semiconductor Memories: RAMs and ROMs Semiconductor Memories: RAMs and ROMs Lesson Objectives: In this lesson you will be introduced to: Different memory devices like, RAM, ROM, PROM, EPROM, EEPROM, etc. Different terms like: read, write,

More information

E3940 Microprocessor Systems Laboratory. Introduction to the Z80

E3940 Microprocessor Systems Laboratory. Introduction to the Z80 E3940 Microprocessor Systems Laboratory Introduction to the Z80 Andrew T. Campbell comet.columbia.edu/~campbell campbell@comet.columbia.edu E3940 Microprocessor Systems Laboratory Page 1 Z80 Laboratory

More information

Control Unit: The control unit provides the necessary timing and control Microprocessor resembles a CPU exactly.

Control Unit: The control unit provides the necessary timing and control Microprocessor resembles a CPU exactly. Unit I 8085 and 8086 PROCESSOR Introduction to microprocessor A microprocessor is a clock-driven semiconductor device consisting of electronic logic circuits manufactured by using either a large-scale

More information

Computer Organization

Computer Organization Computer Organization! Computer design as an application of digital logic design procedures! Computer = processing unit + memory system! Processing unit = control + datapath! Control = finite state machine

More information

Memory Basics. Course Outline. Introduction to Digital Logic. Copyright 2000 N. AYDIN. All rights reserved. 1. Introduction to Digital Logic.

Memory Basics. Course Outline. Introduction to Digital Logic. Copyright 2000 N. AYDIN. All rights reserved. 1. Introduction to Digital Logic. Introduction to Digital Logic Prof. Nizamettin AYDIN naydin@yildiz.edu.tr naydin@ieee.org ourse Outline. Digital omputers, Number Systems, Arithmetic Operations, Decimal, Alphanumeric, and Gray odes. inary

More information

Block diagram view. Datapath = functional units + registers

Block diagram view. Datapath = functional units + registers Computer design an application of digital logic design procedures Computer = processing unit + memory system Processing unit = control + datapath Control = finite state machine inputs = machine instruction,

More information

The x86 Microprocessors. Introduction. The 80x86 Microprocessors. 1.1 Assembly Language

The x86 Microprocessors. Introduction. The 80x86 Microprocessors. 1.1 Assembly Language The x86 Microprocessors Introduction 1.1 Assembly Language Numbering and Coding Systems Human beings use the decimal system (base 10) Decimal digits: 0, 1, 2, 3, 4, 5, 6, 7, 8, 9 Computer systems use the

More information

Digital Design, Kyung Hee Univ. Chapter 7. Memory and Programmable Logic

Digital Design, Kyung Hee Univ. Chapter 7. Memory and Programmable Logic Chapter 7. Memory and Programmable Logic 1 7.1 Introduction Memory unit: A device to which binary information is transferred for storage and from which information is retrieved when needed for processing

More information

Digital System Design Using Verilog. - Processing Unit Design

Digital System Design Using Verilog. - Processing Unit Design Digital System Design Using Verilog - Processing Unit Design 1.1 CPU BASICS A typical CPU has three major components: (1) Register set, (2) Arithmetic logic unit (ALU), and (3) Control unit (CU) The register

More information

Architecture of 8085 microprocessor

Architecture of 8085 microprocessor Architecture of 8085 microprocessor 8085 consists of various units and each unit performs its own functions. The various units of a microprocessor are listed below Accumulator Arithmetic and logic Unit

More information

UNIT-II. Part-2: CENTRAL PROCESSING UNIT

UNIT-II. Part-2: CENTRAL PROCESSING UNIT Page1 UNIT-II Part-2: CENTRAL PROCESSING UNIT Stack Organization Instruction Formats Addressing Modes Data Transfer And Manipulation Program Control Reduced Instruction Set Computer (RISC) Introduction:

More information

Memory. Outline. ECEN454 Digital Integrated Circuit Design. Memory Arrays. SRAM Architecture DRAM. Serial Access Memories ROM

Memory. Outline. ECEN454 Digital Integrated Circuit Design. Memory Arrays. SRAM Architecture DRAM. Serial Access Memories ROM ECEN454 Digital Integrated Circuit Design Memory ECEN 454 Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitry Multiple Ports DRAM Outline Serial Access Memories ROM ECEN 454 12.2 1 Memory

More information

ECE 485/585 Microprocessor System Design

ECE 485/585 Microprocessor System Design Microprocessor System Design Lecture 4: Memory Hierarchy Memory Taxonomy SRAM Basics Memory Organization DRAM Basics Zeshan Chishti Electrical and Computer Engineering Dept Maseeh College of Engineering

More information

register:a group of binary cells suitable for holding binary information flip-flops + gates

register:a group of binary cells suitable for holding binary information flip-flops + gates 9 차시 1 Ch. 6 Registers and Counters 6.1 Registers register:a group of binary cells suitable for holding binary information flip-flops + gates control when and how new information is transferred into the

More information

Chapter. Computer Architecture

Chapter. Computer Architecture Chapter 4 Computer Architecture Figure 4.1 Input device Central processing unit Main memory Output device Bus Data flow Control Figure 4.2 Central processing unit () Status bits ( ) Accumulator ( ) Index

More information

CS 31: Intro to Systems Digital Logic. Kevin Webb Swarthmore College February 2, 2016

CS 31: Intro to Systems Digital Logic. Kevin Webb Swarthmore College February 2, 2016 CS 31: Intro to Systems Digital Logic Kevin Webb Swarthmore College February 2, 2016 Reading Quiz Today Hardware basics Machine memory models Digital signals Logic gates Circuits: Borrow some paper if

More information

MICROPROCESSOR AND MICROCONTROLLER BASED SYSTEMS

MICROPROCESSOR AND MICROCONTROLLER BASED SYSTEMS MICROPROCESSOR AND MICROCONTROLLER BASED SYSTEMS UNIT I INTRODUCTION TO 8085 8085 Microprocessor - Architecture and its operation, Concept of instruction execution and timing diagrams, fundamentals of

More information

STRUCTURE OF DESKTOP COMPUTERS

STRUCTURE OF DESKTOP COMPUTERS Page no: 1 UNIT 1 STRUCTURE OF DESKTOP COMPUTERS The desktop computers are the computers which are usually found on a home or office desk. They consist of processing unit, storage unit, visual display

More information

SAE5C Computer Organization and Architecture. Unit : I - V

SAE5C Computer Organization and Architecture. Unit : I - V SAE5C Computer Organization and Architecture Unit : I - V UNIT-I Evolution of Pentium and Power PC Evolution of Computer Components functions Interconnection Bus Basics of PCI Memory:Characteristics,Hierarchy

More information

ECE 341. Lecture # 16

ECE 341. Lecture # 16 ECE 341 Lecture # 16 Instructor: Zeshan Chishti zeshan@ece.pdx.edu November 24, 2014 Portland State University Lecture Topics The Memory System Basic Concepts Semiconductor RAM Memories Organization of

More information

2 MARKS Q&A 1 KNREDDY UNIT-I

2 MARKS Q&A 1 KNREDDY UNIT-I 2 MARKS Q&A 1 KNREDDY UNIT-I 1. What is bus; list the different types of buses with its function. A group of lines that serves as a connecting path for several devices is called a bus; TYPES: ADDRESS BUS,

More information

Memory & Logic Array. Lecture # 23 & 24 By : Ali Mustafa

Memory & Logic Array. Lecture # 23 & 24 By : Ali Mustafa Memory & Logic Array Lecture # 23 & 24 By : Ali Mustafa Memory Memory unit is a device to which a binary information is transferred for storage. From which information is retrieved when needed. Types of

More information

Model EXAM Question Bank

Model EXAM Question Bank VELAMMAL COLLEGE OF ENGINEERING AND TECHNOLOGY, MADURAI Department of Information Technology Model Exam -1 1. List the main difference between PLA and PAL. PLA: Both AND and OR arrays are programmable

More information

Code No: R Set No. 1

Code No: R Set No. 1 Code No: R059210504 Set No. 1 II B.Tech I Semester Supplementary Examinations, February 2007 DIGITAL LOGIC DESIGN ( Common to Computer Science & Engineering, Information Technology and Computer Science

More information

Microcontroller Systems. ELET 3232 Topic 11: General Memory Interfacing

Microcontroller Systems. ELET 3232 Topic 11: General Memory Interfacing Microcontroller Systems ELET 3232 Topic 11: General Memory Interfacing 1 Objectives To become familiar with the concepts of memory expansion and the data and address bus To design embedded systems circuits

More information

Computer Fundamentals and Operating System Theory. By Neil Bloomberg Spring 2017

Computer Fundamentals and Operating System Theory. By Neil Bloomberg Spring 2017 Computer Fundamentals and Operating System Theory By Neil Bloomberg Spring 2017 INTRODUCTION This presentation will cover the fundamentals of Computer Operating Systems as a layered architecture using

More information

MICROPROCESSOR MICROPROCESSOR. From the above description, we can draw the following block diagram to represent a microprocessor based system: Output

MICROPROCESSOR MICROPROCESSOR. From the above description, we can draw the following block diagram to represent a microprocessor based system: Output 8085 SATISH CHANDRA What is a Microprocessor? The word comes from the combination micro and processor. Processor means a device that processes whatever. In this context, processor means a device that processes

More information

CHAPTER 12 ARRAY SUBSYSTEMS [ ] MANJARI S. KULKARNI

CHAPTER 12 ARRAY SUBSYSTEMS [ ] MANJARI S. KULKARNI CHAPTER 2 ARRAY SUBSYSTEMS [2.4-2.9] MANJARI S. KULKARNI OVERVIEW Array classification Non volatile memory Design and Layout Read-Only Memory (ROM) Pseudo nmos and NAND ROMs Programmable ROMS PROMS, EPROMs,

More information

Chapter 7- Memory System Design

Chapter 7- Memory System Design Chapter 7- Memory ystem esign RM structure: Cells and Chips Memory boards and modules Cache memory Virtual memory The memory as a sub-system of the computer CPU Main Memory Interface equence of events:

More information

CENG4480 Lecture 09: Memory 1

CENG4480 Lecture 09: Memory 1 CENG4480 Lecture 09: Memory 1 Bei Yu byu@cse.cuhk.edu.hk (Latest update: November 8, 2017) Fall 2017 1 / 37 Overview Introduction Memory Principle Random Access Memory (RAM) Non-Volatile Memory Conclusion

More information

Computer Organization. Structure of a Computer. Registers. Register Transfer. Register Files. Memories

Computer Organization. Structure of a Computer. Registers. Register Transfer. Register Files. Memories Computer Organization Structure of a Computer Computer design as an application of digital logic design procedures Computer = processing unit + memory system Processing unit = control + Control = finite

More information

Embedded Systems Design: A Unified Hardware/Software Introduction. Outline. Chapter 5 Memory. Introduction. Memory: basic concepts

Embedded Systems Design: A Unified Hardware/Software Introduction. Outline. Chapter 5 Memory. Introduction. Memory: basic concepts Hardware/Software Introduction Chapter 5 Memory Outline Memory Write Ability and Storage Permanence Common Memory Types Composing Memory Memory Hierarchy and Cache Advanced RAM 1 2 Introduction Memory:

More information