CoFluent Design FPGA. SoC FPGA. Embedded. Systems. HW/SW

Size: px
Start display at page:

Download "CoFluent Design FPGA. SoC FPGA. Embedded. Systems. HW/SW"

Transcription

1 CoFluent Design Embedded HW/SW Systems SW SoC FPGA FPGA Integration Systems & Verification of GreenSocs Models in a CoFluent Testbench jerome.lemaitre@cofluentdesign.com NASCUG IX, June 2008

2 Outline Motivation Abstraction levels of GreenSocs & CoFluent models Integration objective and challenge Combining ESL and detailed SystemC IP models Integration approach: Graphical representation of the ESL testbench Conversion of ESL messages to IP-specific transactions Automatic verification of the detailed (IP) model Example of verification based on a use case in the ESL testbench Use of generic parameters for exploration purposes Linking ESL parameters with detailed model properties PV/PVT transactions, memory access time Optimization of the verification time in the ESL testbench Conclusion 6/16/08 Copyright CoFluent Design 2

3 Motivation This integration work was part of a project to generate testbenches and use cases around GreenSocs models for a leader in the semiconductor industry 6/16/08 Copyright CoFluent Design 3

4 Abstraction levels of GreenSocs and CoFluent models CoFluent models: Behavior: functions and inter-function relations Graphics, user C code and timing properties (budgets) Automatic SystemC generation: Message level (token-based) ~ TL3 Fast simulation for architecture exploration GreenSocs models: Platform: composition of library (IP) components Masters, slaves, bus, router, scheduler Address-based, memory maps SystemC: PV/PVT transactions ~ TL2/TL1 Detailed simulation, close to implementation 6/16/08 Copyright CoFluent Design 4

5 Integration objective & challenge Objective: Integration and validation of a detailed IP-based platform model in a system-level model (testbench) Automatic validation of the detailed model More accurate architecture exploration Challenge Fast simulation Automatic verification of the detailed platform TL3 Testbench? TL2/ TL1 Combining different levels of abstraction: Conversion from TL3 to TL2/TL1 specific transactions Verification and exploration without re-compiling: Relation between ESL and detailed parameters 6/16/08 Copyright CoFluent Design 5

6 Integration approach ESL testbench TL3 TL2/TL1 Use case: Write/read to/from each memory and verify received data Testbench parameters: PV/PVT protocol, memory access time, test function period 6/16/08 Copyright CoFluent Design 6

7 Integration approach: wrapping To be compatible with address-based transactions, tokens in the ESL testbench have to transport: A command (read/write PV/PVT) An address (to reach a memory) Data In the wrapper, generic commands have to be converted to GreenSocs transaction primitives: init_port.transact(transaction); init_port.request.block(transaction); Etc. We implement the wrapper as a SystemC module 6/16/08 Copyright CoFluent Design 7

8 Wrapper(sc_module_name name_, const char* data = NULL) : init_port("iport"), Mess_ToWrapper("Mess_ToWrapper"), Mess_FromWrapper("Mess_FromWrapper") { SC_THREAD(run); sensitive(init_port.default_event()); } I/Os must be compatible with the ESL tokens and with the IP-specific transactions void run(){ transactionhandle t1 = init_port.create_transaction(); while(1){ Mess_ToWrapper.Receive(&MessageToWrapper); if(messagetowrapper.command==wrpv){ t1->set_mcmd(generic_mcmd_wr); t1->set_maddr(messagetowrapper.address); t1->set_mdata(masterdatatype(&mem[0],strlen((char *)mem)+1)); t1->set_mburstlength(strlen((char *)mem)+1); init_port.transact(t1); Create transaction handler Receive token from ESL function PV write }else if(messagetowrapper.command==wrpvt){ t1->set_mcmd(generic_mcmd_wr);... init_port.request.block(t1); init_port.senddata(t1, init_port.get_phase()); PVT write } } }else if(messagetowrapper.command==rd){ t1->set_mcmd(generic_mcmd_rd);... MessageFromWrapper.Data=(const char *)mem; Mess_FromWrapper.Send(&MessageFromWrapper); } PV read, and send token back to ESL function 6/16/08 Copyright CoFluent Design 8

9 Automatic verification: use case example The behavior of the detailed platform model is verified automatically based on a use case, from the ESL testbench 6/16/08 Copyright CoFluent Design 9

10 Exploration of the detailed model We want to link ESL parameters to internal properties of the detailed platform model This permits studying the impact of parameter values on The behavior of the IP-based platform The performance of the complete system Only one compilation is required Example of parameters: Bus cycle Memory access time This association is done when instantiating the components of the detailed platform model 6/16/08 Copyright CoFluent Design 10

11 class GreenSocsModel : public FunctionClass { public: tlm_mess_initiator_port<deftokenwrapper> Mess_ToWrapper; tlm_mess_initiator_port<deftokenwrapper> Mess_FromWrapper; }; Wrapper m1; simplememory s1; simplememory s2; simplememory s3; simplememory s4; SimpleBusProtocol<GenericTransaction, GenericPhase> p; fixedpriorityscheduler<generictransaction, GenericPhase> s; GenericRouter<GenericTransaction, GenericPhase, GenericRouterAccess> r; GreenSocsModel(sc_module_name name, MessageQueueClass<DefTokenWrapper> *reffromwrapper, MessageQueueClass<DefTokenWrapper> *reftowrapper ) : FunctionClass(name), Mess_ToWrapper("Mess_ToWrapper"), Mess_FromWrapper("Mess_FromWrapper"), m1("wrapper","wrapper", reftowrapper, reffromwrapper), s1("memory1", MemoryDelay_ns), s2("memory2", MemoryDelay_ns), s3("memory3", MemoryDelay_ns), s4("memory4", MemoryDelay_ns), p("protocol", sc_time(busperiod_ns, SC_NS)), s("scheduler"), r("router") { } ~GreenSocsModel() { } FunctionClass allows to instrument the integrated model in the ESL testbench Declaration of the detailed platform components Platform internal properties are modified thanks to generic parameters that are declared in the ESL testbench 6/16/08 Copyright CoFluent Design 11

12 Detailed platform exploration results Impact of PV/PVT transactions 6/16/08 Copyright CoFluent Design 12

13 Detailed platform exploration results Impact of memory access time 6/16/08 Copyright CoFluent Design 13

14 Detailed platform exploration results Optimization of the verification time in the ESL testbench Example: PVT write/read cycle: 600 ns 600 ns 6/16/08 Copyright CoFluent Design 14

15 Conclusion (1/2) GreenSocs models can be integrated into a CoFluent ESL testbench Automatic verification of the GreenSocs model More accurate analysis and exploration of GreenSocs platform models The integration relies on a wrapper / transactor Conversion from message-level tokens (TL3) to specific transactions (TL2/TL1) and vice-versa Addressed-based transactions are simple to model in the ESL testbench CoFluent parameters are linked to GreenSocs internal properties Rapid exploration of PV/PVT, memory access times, etc. 6/16/08 Copyright CoFluent Design 15

16 Conclusion (2/2) We apply the same wrapping principle to close the implementation gap for HW components (FPGAs/ASICs) through HW behavioral synthesis The behavior of the system is validated in a reference transaction level testbench Critical elements are refined down to CA models (Catapult) These CA models (TL1) are wrapped and verified in the rerefence testbench (TL3) Conversion from tokens to handshakes & memory interfaces The reference testbench is back-annotated with exact timing properties for a more accurate (and still fast) architecture exploration Systematic wrapping methods are already available to integrate TLM2 IP models in CoFluent models 6/16/08 Copyright CoFluent Design 16

17 Questions? 6/16/08 Copyright CoFluent Design 17

Hardware Design and Simulation for Verification

Hardware Design and Simulation for Verification Hardware Design and Simulation for Verification by N. Bombieri, F. Fummi, and G. Pravadelli Universit`a di Verona, Italy (in M. Bernardo and A. Cimatti Eds., Formal Methods for Hardware Verification, Lecture

More information

The Architects View Framework: A Modeling Environment for Architectural Exploration and HW/SW Partitioning

The Architects View Framework: A Modeling Environment for Architectural Exploration and HW/SW Partitioning 1 The Architects View Framework: A Modeling Environment for Architectural Exploration and HW/SW Partitioning Tim Kogel European SystemC User Group Meeting, 12.10.2004 Outline 2 Transaction Level Modeling

More information

System-On-Chip Architecture Modeling Style Guide

System-On-Chip Architecture Modeling Style Guide Center for Embedded Computer Systems University of California, Irvine System-On-Chip Architecture Modeling Style Guide Junyu Peng Andreas Gerstlauer Rainer Dömer Daniel D. Gajski Technical Report CECS-TR-04-22

More information

Introduction to MLM. SoC FPGA. Embedded HW/SW Systems

Introduction to MLM. SoC FPGA. Embedded HW/SW Systems Introduction to MLM Embedded HW/SW Systems SoC FPGA European SystemC User s Group Meeting Barcelona September 18, 2007 rocco.le_moigne@cofluentdesign.com Agenda Methodology overview Modeling & simulation

More information

System Level Design with IBM PowerPC Models

System Level Design with IBM PowerPC Models September 2005 System Level Design with IBM PowerPC Models A view of system level design SLE-m3 The System-Level Challenges Verification escapes cost design success There is a 45% chance of committing

More information

Modular SystemC. In-house Training Options. For further information contact your local Doulos Sales Office.

Modular SystemC. In-house Training Options. For further information contact your local Doulos Sales Office. Modular SystemC is a set of modules related to SystemC TM (IEEE 1666-2005) aimed at fulfilling teambased training requirements for engineers from a range of technical backgrounds, i.e. hardware and software

More information

4 th European SystemC Users Group Meeting

4 th European SystemC Users Group Meeting 4 th European SystemC Users Group Meeting http://www-ti.informatik.uni-tuebingen.de/systemc Copenhagen October 5 th, 2001, 1100-1600 SystemC 2.0 Tutorial Thorsten Grötker R & D Manager Synopsys, Inc. Motivation

More information

ESL design with the Agility Compiler for SystemC

ESL design with the Agility Compiler for SystemC ESL design with the Agility Compiler for SystemC SystemC behavioral design & synthesis Steve Chappell & Chris Sullivan Celoxica ESL design portfolio Complete ESL design environment Streaming Video Processing

More information

Maintaining Consistency Between SystemC and RTL System Designs

Maintaining Consistency Between SystemC and RTL System Designs 7.2 Maintaining Consistency Between SystemC and RTL System Designs Alistair Bruce 152 Rockingham Street Sheffield, UK S1 4EB alistair.bruce@arm.com M M Kamal Hashmi Spiratech Ltd Carrington Business Park

More information

FPGA briefing Part II FPGA development DMW: FPGA development DMW:

FPGA briefing Part II FPGA development DMW: FPGA development DMW: FPGA briefing Part II FPGA development FPGA development 1 FPGA development FPGA development : Domain level analysis (Level 3). System level design (Level 2). Module level design (Level 1). Academical focus

More information

High Level Power Modeling

High Level Power Modeling High Level Power Modeling Jerry Frenkil (Sequence Design) David Hathaway (IBM) Nagu Dhanwada (IBM) Si2 LPC Modeling Working Group Interoperability Standards Collaborative Technology Innovation Through

More information

Network simulation with. Davide Quaglia

Network simulation with. Davide Quaglia Network simulation with SystemC Davide Quaglia Outline Motivation Architecture Experimental results Advantages of the proposed framework 2 Motivation Network Networked Embedded Systems Design of Networked

More information

A UML Profile for SysML-Based Comodeling for Embedded Systems Simulation and Synthesis

A UML Profile for SysML-Based Comodeling for Embedded Systems Simulation and Synthesis A UML Profile for SysML-Based Comodeling for Embedded Systems Simulation and Synthesis Fabian Mischkalla, Da He, Wolfgang Mueller University of Paderborn/C-LAB, Paderborn, Germany Abstract After its wide

More information

System Planning Overcoming Gap Between Design at Electronic System Level (ESL) and Implementation

System Planning Overcoming Gap Between Design at Electronic System Level (ESL) and Implementation System Planning Overcoming Gap Between Design at Electronic System Level (ESL) and Implementation Horst Salzwedel, TU Ilmenau Presented at EDACentrum Workshop: System Planning Hannover, 30 November 2006

More information

GreenBus Wolfgang Klingauf 14th ESCUG Meeting FDL 06 Darmstadt

GreenBus Wolfgang Klingauf 14th ESCUG Meeting FDL 06 Darmstadt GreenBus Wolfgang Klingauf w.klingauf@tu-braunschweig.de 14th ESCUG Meeting FDL 06 Darmstadt 1 GreenSocs THE Open Source community based SystemC infrastructure project. Open to all to contribute / join

More information

Transaction Level Modeling with SystemC. Thorsten Grötker Engineering Manager Synopsys, Inc.

Transaction Level Modeling with SystemC. Thorsten Grötker Engineering Manager Synopsys, Inc. Transaction Level Modeling with SystemC Thorsten Grötker Engineering Manager Synopsys, Inc. Outline Abstraction Levels SystemC Communication Mechanism Transaction Level Modeling of the AMBA AHB/APB Protocol

More information

Hardware in the Loop Functional Verification Methodology

Hardware in the Loop Functional Verification Methodology OMG's Third Software-Based Communications Workshop: Realizing the Vision Hardware in the Loop Functional Verification Methodology by Pascal Giard Jean-François Boland, Jean Belzile M.Ing. Student École

More information

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools Wen-Yen Lin, Ph.D. Department of Electrical Engineering Chang Gung University Email: wylin@mail.cgu.edu.tw March 2013 Agenda Introduction

More information

System On Chip: Design & Modelling (SOC/DAM) 1 R: Verilog RTL Design with examples.

System On Chip: Design & Modelling (SOC/DAM) 1 R: Verilog RTL Design with examples. System On Chip: Design & Modelling (SOC/DAM) Exercises Here is the first set of exercises. These are intended to cover subject groups 1-4 of the SOC/DAM syllabus (R, SC, SD, ESL). These questions are styled

More information

SoC Design for the New Millennium Daniel D. Gajski

SoC Design for the New Millennium Daniel D. Gajski SoC Design for the New Millennium Daniel D. Gajski Center for Embedded Computer Systems University of California, Irvine www.cecs.uci.edu/~gajski Outline System gap Design flow Model algebra System environment

More information

ARM s IP and OSCI TLM 2.0

ARM s IP and OSCI TLM 2.0 ARM s IP and OSCI TLM 2.0 Deploying Implementations of IP at the Programmer s View abstraction level via RealView System Generator ESL Marketing and Engineering System Design Division ARM Q108 1 Contents

More information

AMBA Programmer s View Extensions to OSCI TLM v2.0. Nizar ROMDHANE Technical Marketing Manager RealView Tools, DSTG April, 2007

AMBA Programmer s View Extensions to OSCI TLM v2.0. Nizar ROMDHANE Technical Marketing Manager RealView Tools, DSTG April, 2007 AMBA Programmer s View Extensions to OSCI TLM v2.0 Nizar ROMDHANE Technical Marketing Manager RealView Tools, DSTG April, 2007 1 Presentation Structure ARM Activities within OSCI AMBA Protocols: AXI focus

More information

Introduction to the SystemC TLM Standard Stuart Swan Cadence Design Systems, Inc June 2005

Introduction to the SystemC TLM Standard Stuart Swan Cadence Design Systems, Inc June 2005 Introduction to the SystemC TLM Standard Stuart Swan Cadence Design Systems, Inc June 2005 1 Copyright 2005 CADENCE DESIGN SYSTEMS, INC. SystemC Transaction Level Modeling What is TLM? Communication uses

More information

The Application of SystemC to the Design and Implementation of a High Data Rate Satellite Transceiver

The Application of SystemC to the Design and Implementation of a High Data Rate Satellite Transceiver The Application of SystemC to the Design and Implementation of a High Data Rate Satellite Transceiver The MITRE Corporation Approved for public release. Distribution unlimited. Case #07-0782 Contract No.

More information

SoC Modeling. What Is Modeling. Advantages Of Modeling. architecture

SoC Modeling. What Is Modeling. Advantages Of Modeling. architecture SoC Modeling Ming-Hwa Wang, Ph.D. COEN 207 SoC (System-on-Chip) Verification Department of Computer Engineering Santa Clara University Topics advantages of modeling what is modeling cost of modeling languages

More information

Abstraction Layers for Hardware Design

Abstraction Layers for Hardware Design SYSTEMC Slide -1 - Abstraction Layers for Hardware Design TRANSACTION-LEVEL MODELS (TLM) TLMs have a common feature: they implement communication among processes via function calls! Slide -2 - Abstraction

More information

Efficient use of Virtual Prototypes in HW/SW Development and Verification

Efficient use of Virtual Prototypes in HW/SW Development and Verification Efficient use of Virtual Prototypes in HW/SW Development and Verification Rocco Jonack, MINRES Technologies GmbH Eyck Jentzsch, MINRES Technologies GmbH Accellera Systems Initiative 1 Virtual prototype

More information

RTOS Scheduling in Transaction Level Models

RTOS Scheduling in Transaction Level Models RTOS Scheduling in Transaction Level Models Haobo Yu, Andreas Gerstlauer, Daniel Gajski CECS Technical Report 03-12 March 20, 2003 Center for Embedded Computer Systems Information and Computer Science

More information

High Level Synthesis Re-usable model of AMBA AXI4 communication protocol for HLS based design flow developed using SystemC Synthesis subset

High Level Synthesis Re-usable model of AMBA AXI4 communication protocol for HLS based design flow developed using SystemC Synthesis subset High Level Synthesis Re-usable model of AMBA 4 communication protocol for HLS based design flow developed using Synthesis subset NASCUG, San Francisco, USA (June, 2014) Presenter Dinesh Malhotra, CircuitSutra

More information

Introduction to SystemC

Introduction to SystemC Introduction to SystemC Damien Hubaux - CETIC Outline?? A language A C++ library February 12, 2004 SystemC, an alternative for system modeling and synthesis? 2 Why SystemC? Needs Increasing complexity,

More information

Integrated Development Environment

Integrated Development Environment Integrated Development Environment WWW.ANDESTECH.COM 1 IDE Page 2 2 Toolchains IDE AndESLive Simulator AICE AndESLive Builder AndeShape AndeSight AndESLive Page 3 3 AndeSight IDE Window View Perspective

More information

Design and Verification of FPGA Applications

Design and Verification of FPGA Applications Design and Verification of FPGA Applications Giuseppe Ridinò Paola Vallauri MathWorks giuseppe.ridino@mathworks.it paola.vallauri@mathworks.it Torino, 19 Maggio 2016, INAF 2016 The MathWorks, Inc. 1 Agenda

More information

PowerSC: a SystemC Framework for Power Estimation

PowerSC: a SystemC Framework for Power Estimation 6th NASCUG Meeting February, 2007 San Jose, CA PowerSC: a SystemC Framework for Power Estimation Felipe Klein (speaker) Guido Araujo Rodolfo Azevedo Computer Systems Laboratory Institute of Computing UNAMP

More information

Code Generation for QEMU-SystemC Cosimulation from SysML

Code Generation for QEMU-SystemC Cosimulation from SysML Code Generation for QEMU- Cosimulation from SysML Da He, Fabian Mischkalla, Wolfgang Mueller University of Paderborn/C-Lab, Fuerstenallee 11, 33102 Paderborn, Germany {dahe, fabianm, wolfgang}@c-lab.de

More information

Early Models in Silicon with SystemC synthesis

Early Models in Silicon with SystemC synthesis Early Models in Silicon with SystemC synthesis Agility Compiler summary C-based design & synthesis for SystemC Pure, standard compliant SystemC/ C++ Most widely used C-synthesis technology Structural SystemC

More information

SCope: Efficient HdS simulation for MpSoC with NoC

SCope: Efficient HdS simulation for MpSoC with NoC SCope: Efficient HdS simulation for MpSoC with NoC Eugenio Villar Héctor Posadas University of Cantabria Marcos Martínez DS2 Motivation The microprocessor will be the NAND gate of the integrated systems

More information

Will Everything Start To Look Like An SoC?

Will Everything Start To Look Like An SoC? Will Everything Start To Look Like An SoC? Vikas Gautam, Synopsys Verification Futures Conference 2013 Bangalore, India March 2013 Synopsys 2012 1 SystemVerilog Inherits the Earth e erm SV urm AVM 1.0/2.0/3.0

More information

USING THE SYSTEM-C LIBRARY FOR BIT TRUE SIMULATIONS IN MATLAB

USING THE SYSTEM-C LIBRARY FOR BIT TRUE SIMULATIONS IN MATLAB USING THE SYSTEM-C LIBRARY FOR BIT TRUE SIMULATIONS IN MATLAB Jan Schier Institute of Information Theory and Automation Academy of Sciences of the Czech Republic Abstract In the paper, the possibilities

More information

ESE Back End 2.0. D. Gajski, S. Abdi. (with contributions from H. Cho, D. Shin, A. Gerstlauer)

ESE Back End 2.0. D. Gajski, S. Abdi. (with contributions from H. Cho, D. Shin, A. Gerstlauer) ESE Back End 2.0 D. Gajski, S. Abdi (with contributions from H. Cho, D. Shin, A. Gerstlauer) Center for Embedded Computer Systems University of California, Irvine http://www.cecs.uci.edu 1 Technology advantages

More information

An Efficient AXI Read and Write Channel for Memory Interface in System-on-Chip

An Efficient AXI Read and Write Channel for Memory Interface in System-on-Chip An Efficient AXI Read and Write Channel for Memory Interface in System-on-Chip Abhinav Tiwari M. Tech. Scholar, Embedded System and VLSI Design Acropolis Institute of Technology and Research, Indore (India)

More information

An introduction to CoCentric

An introduction to CoCentric A Hand-Out 1 An introduction to CoCentric Las Palmas de G. C., Spain Jun, 27 th, 2002 Agenda 2 System-level SoC design What is SystemC? CoCentric System Studio SystemC based designs verification CoCentric

More information

Augmenting a C++/PLI/VCS Based Verification Environment with SystemC

Augmenting a C++/PLI/VCS Based Verification Environment with SystemC Augmenting a C++/PLI/VCS Based Verification Environment Dr. Ambar Sarkar Paradigm Works Inc. ambar.sarkar@paradigm-works.com ABSTRACT Due to increased popularity of high-level verification languages (HVLs)

More information

Introduction to Programming Using Java (98-388)

Introduction to Programming Using Java (98-388) Introduction to Programming Using Java (98-388) Understand Java fundamentals Describe the use of main in a Java application Signature of main, why it is static; how to consume an instance of your own class;

More information

Test and Verification Solutions. ARM Based SOC Design and Verification

Test and Verification Solutions. ARM Based SOC Design and Verification Test and Verification Solutions ARM Based SOC Design and Verification 7 July 2008 1 7 July 2008 14 March 2 Agenda System Verification Challenges ARM SoC DV Methodology ARM SoC Test bench Construction Conclusion

More information

Application of Multi-domain and Multi-language Cosimulation to an Optical MEM Switch Design

Application of Multi-domain and Multi-language Cosimulation to an Optical MEM Switch Design Application of Multi-domain and Multi-language Cosimulation to an Optical MEM Switch Design Abstract This paper presents the applicability of a cosimulation methodology based on an object-oriented simulation

More information

Embedded System Design and Modeling EE382V, Fall 2008

Embedded System Design and Modeling EE382V, Fall 2008 Embedded System Design and Modeling EE382V, Fall 2008 Lecture Notes 4 System Design Flow and Design Methodology Dates: Sep 16&18, 2008 Scribe: Mahesh Prabhu SpecC: Import Directive: This is different from

More information

Automatic Communication Refinement for System Level Design

Automatic Communication Refinement for System Level Design Automatic Communication Refinement for System Level Design Samar Abdi and Daniel Gajski Technical Report CECS-03-08 March 7, 2003 Center for Embedded Computer Systems University of California, Irvine Irvine,

More information

Automatic Generation of Cycle Accurate and Cycle Count Accurate Transaction Level Bus Models from a Formal Model

Automatic Generation of Cycle Accurate and Cycle Count Accurate Transaction Level Bus Models from a Formal Model Automatic Generation of Cycle Accurate and Cycle Count Accurate Transaction Level Bus Models from a Formal Model Chen-Kang Lo, Ren-Song Tsay Department of Computer Science, National Tsing-Hua University,

More information

AADL Simulation and Performance Analysis in SystemC

AADL Simulation and Performance Analysis in SystemC Fourth IEEE International workshop UML and AADL 2nd June 2009 Potsdam, Germany Roberto Varona Gómez Eugenio Villar {roberto, evillar}@teisa.unican.es University of Cantabria, Santander, Spain. This work

More information

A Fast Timing-Accurate MPSoC HW/SW Co-Simulation Platform based on a Novel Synchronization Scheme

A Fast Timing-Accurate MPSoC HW/SW Co-Simulation Platform based on a Novel Synchronization Scheme A Fast Timing-Accurate MPSoC HW/SW Co-Simulation Platform based on a Novel Synchronization Scheme Mingyan Yu, Junjie Song, Fangfa Fu, Siyue Sun, and Bo Liu Abstract Fast and accurate full-system simulation

More information

RTOS Scheduling in Transaction Level Models

RTOS Scheduling in Transaction Level Models RTOS Scheduling in Transaction Level Models Haobo Yu, Andreas Gerstlauer, Daniel Gajski Center for Embedded Computer Systems University of California, Irvine Irvine, CA 92697, USA {haoboy,gerstl,gajksi}@cecs.uci.edu

More information

Bluespec Product Status and Direction. MIT Bluespec Workshop August 13, 2007

Bluespec Product Status and Direction. MIT Bluespec Workshop August 13, 2007 Bluespec Product Status and Direction MIT Bluespec Workshop August 13, 2007 Bluespec, Inc., 2007 A long time ago. A tool. Source: Arvind Content. Templates. Community. 2 Making things faster and easier

More information

SPACE: SystemC Partitioning of Architectures for Co-design of real-time Embedded systems

SPACE: SystemC Partitioning of Architectures for Co-design of real-time Embedded systems September 29, 2004 SPACE: Partitioning of Architectures for Co-design of real-time Embedded systems Jérome Chevalier 1, Maxime De Nanclas 1, Guy Bois 1 and Mostapha Aboulhamid 2 1. École Polytechnique

More information

Embedded System Design

Embedded System Design Modeling, Synthesis, Verification Daniel D. Gajski, Samar Abdi, Andreas Gerstlauer, Gunar Schirner 9/29/2011 Outline System design trends Model-based synthesis Transaction level model generation Application

More information

Using MATLAB and Simulink in a SystemC Verification Environment

Using MATLAB and Simulink in a SystemC Verification Environment Using MATLAB and Simulink in a SystemC Verification Environment Jean-François Boland 1 Mathieu Hemon 2, Claude Thibeault 2, Zeljko Zilic 1 1 McGill University Department of Electrical and Computer Engineering

More information

MoCC - Models of Computation and Communication SystemC as an Heterogeneous System Specification Language

MoCC - Models of Computation and Communication SystemC as an Heterogeneous System Specification Language SystemC as an Heterogeneous System Specification Language Eugenio Villar Fernando Herrera University of Cantabria Challenges Massive concurrency Complexity PCB MPSoC with NoC Nanoelectronics Challenges

More information

Communication Abstractions for System-Level Design and Synthesis

Communication Abstractions for System-Level Design and Synthesis Communication Abstractions for System-Level Design and Synthesis Andreas Gerstlauer Technical Report CECS-03-30 October 16, 2003 Center for Embedded Computer Systems University of California, Irvine Irvine,

More information

IBM PowerPC Enablement Kit: ChipBench-SLD: System Level Analysis and Design Tool Suite. Power.org, September 2005

IBM PowerPC Enablement Kit: ChipBench-SLD: System Level Analysis and Design Tool Suite. Power.org, September 2005 Power.org, September 2005 IBM PowerPC Enablement Kit: ChipBench-SLD: System Level and Design Tool Suite PowerPC SystemC Models SLD Tools PowerPC, CoreConnect IP Dr. Nagu Dhanwada, Chief System Level Design

More information

Choosing IP-XACT IEEE 1685 standard as a unified description for timing and power performance estimations in virtual platforms platforms

Choosing IP-XACT IEEE 1685 standard as a unified description for timing and power performance estimations in virtual platforms platforms hoosing IP-XAT IEEE 1685 standard as a unified description for timing and power performance estimations in virtual platforms platforms Emmanuel Vaumorin (Magillem Design Services) Motivation New needs

More information

Assertion and Model Checking of SystemC

Assertion and Model Checking of SystemC Assertion and Model Checking of SystemC Sofiène Tahar Hardware Verification Group Department of Electrical and Computer Engineering Concordia University Montreal, Quebec, CANADA First Annual North American

More information

Will Everything Start To Look Like An SoC?

Will Everything Start To Look Like An SoC? Will Everything Start To Look Like An SoC? Janick Bergeron, Synopsys Verification Futures Conference 2012 France, Germany, UK November 2012 Synopsys 2012 1 SystemVerilog Inherits the Earth e erm SV urm

More information

A New Electronic System Level Methodology for Complex Chip Designs

A New Electronic System Level Methodology for Complex Chip Designs A New Electronic System Level Methodology for Complex Chip Designs Chad Spackman President, Co-Founder 1 Copyright 2006. All rights reserved. We are an EDA Tool Company: C2R Compiler, Inc. General purpose

More information

Transaction-level modeling of bus-based systems with SystemC 2.0

Transaction-level modeling of bus-based systems with SystemC 2.0 Transaction-level modeling of bus-based systems with SystemC 2.0 Ric Hilderink, Thorsten Grötker Synopsys, Inc. Efficient platform modeling Get to executable platform model ASAP Simulation speed >> 100k

More information

Embedded System Design Modeling, Synthesis, Verification

Embedded System Design Modeling, Synthesis, Verification Modeling, Synthesis, Verification Daniel D. Gajski, Samar Abdi, Andreas Gerstlauer, Gunar Schirner Chapter 4: System Synthesis Outline System design trends Model-based synthesis Transaction level model

More information

Chapter 2 M3-SCoPE: Performance Modeling of Multi-Processor Embedded Systems for Fast Design Space Exploration

Chapter 2 M3-SCoPE: Performance Modeling of Multi-Processor Embedded Systems for Fast Design Space Exploration Chapter 2 M3-SCoPE: Performance Modeling of Multi-Processor Embedded Systems for Fast Design Space Exploration Hector Posadas, Sara Real, and Eugenio Villar Abstract Design Space Exploration for complex,

More information

Communication Link Synthesis for SoC

Communication Link Synthesis for SoC Communication Link Synthesis for SoC Dongwan Shin, Andreas Gerstlauer and Daniel Gajski Technical Report CECS-04-16 June 10, 2004 Center for Embedded Computer Systems University of California, Irvine Irvine,

More information

2.1 Typical IP-XACT based flow The IP-XACT standard can be applied in various parts of a typical SoC design flow as depicted in Figure 1

2.1 Typical IP-XACT based flow The IP-XACT standard can be applied in various parts of a typical SoC design flow as depicted in Figure 1 Industrial Integration Flows based on -XACT Standards Wido Kruijtzer 1, Pieter van der Wolf 1, Erwin de Kock 1, Jan Stuyt 1, Wolfgang Ecker 2, Albrecht Mayer 2, Serge Hustin 3, Christophe Amerijckx 3,

More information

QEMU and SystemC. Màrius Màrius Montón

QEMU and SystemC. Màrius Màrius Montón QEMU and SystemC March March 2011 2011 QUF'11 QUF'11 Grenoble Grenoble Màrius Màrius Montón Outline Introduction Objectives Virtual Platforms and SystemC Checkpointing for SystemC Conclusions 2 Introduction

More information

A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes

A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes Presented at Design Automation Conference (DAC) San Francisco, CA, June 4, 2012. Presented by Chuck Cruse FPGA Hardware

More information

FCUDA-SoC: Platform Integration for Field-Programmable SoC with the CUDAto-FPGA

FCUDA-SoC: Platform Integration for Field-Programmable SoC with the CUDAto-FPGA 1 FCUDA-SoC: Platform Integration for Field-Programmable SoC with the CUDAto-FPGA Compiler Tan Nguyen 1, Swathi Gurumani 1, Kyle Rupnow 1, Deming Chen 2 1 Advanced Digital Sciences Center, Singapore {tan.nguyen,

More information

SpecC Methodology for High-Level Modeling

SpecC Methodology for High-Level Modeling EDP 2002 9 th IEEE/DATC Electronic Design Processes Workshop SpecC Methodology for High-Level Modeling Rainer Dömer Daniel D. Gajski Andreas Gerstlauer Center for Embedded Computer Systems Universitiy

More information

Extending TASTE through integration with Space Studio

Extending TASTE through integration with Space Studio Extending TASTE through integration with Space Studio Guy Bois, Laurent Moss - Space Codesign Systems Marc Pollina, Yan Leclerc - M3 Systems www.spacecodesign.com Outline 1) Overview of the Space Studio

More information

System Level Design Technologies and System Level Design Languages

System Level Design Technologies and System Level Design Languages System Level Design Technologies and System Level Design Languages SLD Study Group EDA-TC, JEITA http://eda.ics.es.osaka-u.ac.jp/jeita/eda/english/project/sld/index.html Problems to Be Solved 1. Functional

More information

Automatic Generation of Communication Architectures

Automatic Generation of Communication Architectures i Topic: Network and communication system Automatic Generation of Communication Architectures Dongwan Shin, Andreas Gerstlauer, Rainer Dömer and Daniel Gajski Center for Embedded Computer Systems University

More information

Tim Kogel. June 13, 2010

Tim Kogel. June 13, 2010 Generating Workload Models from TLM-2 2.0-based Virtual Prototypes for Efficient Architecture Performance Analysis Tim Kogel NASCUG 13 June 13, 2010 1 Outline Motivation and TLM-2.0 Virtual Prototyping

More information

SystemC-based ESL Verification Flow Integrating Property Checking and Automatic Debugging

SystemC-based ESL Verification Flow Integrating Property Checking and Automatic Debugging SystemC-based ESL Verification Flow Integrating Property Checking and Automatic Debugging Hoang M. Le 1 Daniel Große 1 Rolf Drechsler 1,2 1 University of Bremen, Germany 2 DFKI Bremen, Germany {hle, grosse,

More information

Modelling, simulation, and advanced tracing for extra-functional properties in SystemC/TLM

Modelling, simulation, and advanced tracing for extra-functional properties in SystemC/TLM Modelling, simulation, and advanced tracing for extra-functional properties in SystemC/TLM Philipp A. Hartmann philipp.hartmann@offis.de OFFIS Institute for Information Technology R&D Division Transportation

More information

Vertical Reuse of functional verification from subsystem to SoC level (with seamless SoC emulation)

Vertical Reuse of functional verification from subsystem to SoC level (with seamless SoC emulation) Vertical Reuse of functional verification from subsystem to SoC level (with seamless SoC emulation) Pranav Kumar, Staff Engineer Digvijaya Pratap SINGH, Sr. Staff Engineer STMicroelectronics, Greater NOIDA,

More information

REQUIREMENTS AND CONCEPTS FOR TRANSACTION LEVEL ASSERTION REFINEMENT

REQUIREMENTS AND CONCEPTS FOR TRANSACTION LEVEL ASSERTION REFINEMENT REQUIREMENTS AND CONCEPTS FOR TRANSACTION LEVEL ASSERTION REFINEMENT Wolfgang Ecker Infineon Technologies AG IFAG COM BTS MT SD 81726 Munich, Germany Wolfgang.Ecker@infineon.com Volkan Esen, Thomas Steininger,

More information

SpaceWire. Design of the SystemC model of the SpaceWire-b CODEC. Dr. Nikos Mouratidis

SpaceWire. Design of the SystemC model of the SpaceWire-b CODEC. Dr. Nikos Mouratidis SpaceWire Design of the SystemC model of the SpaceWire-b CODEC Dr. Nikos Mouratidis Qualtek Sprl. 36 Avenue Gabriel Emile Lebon B-1160, Brussels, Belgium 19/09/2011 Activity objectives: High-level modeling

More information

HW & SW co-verification of baseband HSPA Processor with Seamless PSP

HW & SW co-verification of baseband HSPA Processor with Seamless PSP HW & SW co-verification of baseband HSPA Processor with Seamless PSP Zheng Li, Xuedong Yang, Bing Wang, Zhitao Lu, Lawrence Yang, James Gualdoni, Jagan Raghavendran Steven Swanchara, William Hinkel, Scott

More information

CprE 588 Embedded Computer Systems

CprE 588 Embedded Computer Systems CprE 588 Embedded Computer Systems Prof. Joseph Zambreno Department of Electrical and Computer Engineering Iowa State University Lecture #8 Architectural Specialization Outline Motivation Related Work

More information

EE382V: System-on-a-Chip (SoC) Design

EE382V: System-on-a-Chip (SoC) Design EE382V: System-on-a-Chip (SoC) Design Lecture 8 HW/SW Co-Design Sources: Prof. Margarida Jacome, UT Austin Andreas Gerstlauer Electrical and Computer Engineering University of Texas at Austin gerstl@ece.utexas.edu

More information

A Process Model suitable for defining and programming MpSoCs

A Process Model suitable for defining and programming MpSoCs A Process Model suitable for defining and programming MpSoCs MpSoC-Workshop at Rheinfels, 29-30.6.2010 F. Mayer-Lindenberg, TU Hamburg-Harburg 1. Motivation 2. The Process Model 3. Mapping to MpSoC 4.

More information

100M Gate Designs in FPGAs

100M Gate Designs in FPGAs 100M Gate Designs in FPGAs Fact or Fiction? NMI FPGA Network 11 th October 2016 Jonathan Meadowcroft, Cadence Design Systems Why in the world, would I do that? ASIC replacement? Probably not! Cost prohibitive

More information

Optimization of Behavioral IPs in Multi-Processor System-on- Chips

Optimization of Behavioral IPs in Multi-Processor System-on- Chips Optimization of Behavioral IPs in Multi-Processor System-on- Chips Yidi Liu and Benjamin Carrion Schafer # Department of Electronic and Information Engineering b.carrionschafer@polyu.edu.hk # Outline High-Level

More information

Block Diagram. mast_sel. mast_inst. mast_data. mast_val mast_rdy. clk. slv_sel. slv_inst. slv_data. slv_val slv_rdy. rfifo_depth_log2.

Block Diagram. mast_sel. mast_inst. mast_data. mast_val mast_rdy. clk. slv_sel. slv_inst. slv_data. slv_val slv_rdy. rfifo_depth_log2. Key Design Features Block Diagram Synthesizable, technology independent IP Core for FPGA, ASIC and SoC reset Supplied as human readable VHDL (or Verilog) source code mast_sel SPI serial-bus compliant Supports

More information

Intro to High Level Design with SystemC

Intro to High Level Design with SystemC Intro to High Level Design with SystemC Aim To introduce SystemC, and its associated Design Methodology Date 26th March 2001 Presented By Alan Fitch Designer Challenges Design complexity System on Chip

More information

Network Synthesis for SoC

Network Synthesis for SoC Network Synthesis for SoC Dongwan Shin, Andreas Gerstlauer and Daniel Gajski Technical Report CECS-04-15 June 10, 2004 Center for Embedded Computer Systems University of California, Irvine Irvine, CA 92697-3425,

More information

Hardware/Software Codesign

Hardware/Software Codesign Hardware/Software Codesign SS 2016 Prof. Dr. Christian Plessl High-Performance IT Systems group University of Paderborn Version 2.2.0 2016-04-08 how to design a "digital TV set top box" Motivating Example

More information

A Hybrid Channel for Co-Simulation of Behavioral SystemC IP with its Full System Prototype on FPGA*

A Hybrid Channel for Co-Simulation of Behavioral SystemC IP with its Full System Prototype on FPGA* A Hybrid Channel for Co-Simulation of Behavioral SystemC IP with its Full System Prototype on FPGA* Antonis Papagrigoriou, TEI of Crete, Heraklion, Greece (apapa@cs.teicrete.gr) Miltos D. Grammatikakis,

More information

Intro to System Generator. Objectives. After completing this module, you will be able to:

Intro to System Generator. Objectives. After completing this module, you will be able to: Intro to System Generator This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: Explain why there is a need for an integrated

More information

Stacks. Chapter 5. Copyright 2012 by Pearson Education, Inc. All rights reserved

Stacks. Chapter 5. Copyright 2012 by Pearson Education, Inc. All rights reserved Stacks Chapter 5 Copyright 2012 by Pearson Education, Inc. All rights reserved Contents Specifications of the ADT Stack Using a Stack to Process Algebraic Expressions A Problem Solved: Checking for Balanced

More information

MPSoC Design Space Exploration Framework

MPSoC Design Space Exploration Framework MPSoC Design Space Exploration Framework Gerd Ascheid RWTH Aachen University, Germany Outline Motivation: MPSoC requirements in wireless and multimedia MPSoC design space exploration framework Summary

More information

Agenda. How can we improve productivity? C++ Bit-accurate datatypes and modeling Using C++ for hardware design

Agenda. How can we improve productivity? C++ Bit-accurate datatypes and modeling Using C++ for hardware design Catapult C Synthesis High Level Synthesis Webinar Stuart Clubb Technical Marketing Engineer April 2009 Agenda How can we improve productivity? C++ Bit-accurate datatypes and modeling Using C++ for hardware

More information

HVSoCs: A Framework for Rapid Prototyping of 3-D Hybrid Virtual System-on-Chips

HVSoCs: A Framework for Rapid Prototyping of 3-D Hybrid Virtual System-on-Chips on introducing a new design paradigm HVSoCs: A Framework for Rapid Prototyping of 3-D Hybrid Virtual System-on-Chips D. Diamantopoulos, K. Siozios, E. Sotiriou-Xanthopoulos, G. Economakos and D. Soudris

More information

Embedded Software Generation from System Level Design Languages

Embedded Software Generation from System Level Design Languages Embedded Software Generation from System Level Design Languages Haobo Yu, Rainer Dömer, Daniel Gajski Center for Embedded Computer Systems University of California, Irvine, USA haoboy,doemer,gajski}@cecs.uci.edu

More information

Performance Verification for ESL Design Methodology from AADL Models

Performance Verification for ESL Design Methodology from AADL Models Performance Verification for ESL Design Methodology from AADL Models Hugues Jérome Institut Supérieur de l'aéronautique et de l'espace (ISAE-SUPAERO) Université de Toulouse 31055 TOULOUSE Cedex 4 Jerome.huges@isae.fr

More information

Experiences and Challenges of Transaction-Level Modelling with SystemC 2.0

Experiences and Challenges of Transaction-Level Modelling with SystemC 2.0 Experiences and Challenges of Transaction-Level Modelling with SystemC 2.0 Alain CLOUARD STMicroelectronics Central R&D (Grenoble, France) STMicroelectronics TLM is useful SoC HW/SW design flow Standard

More information

SysteMoC. Verification and Refinement of Actor-Based Models of Computation

SysteMoC. Verification and Refinement of Actor-Based Models of Computation SysteMoC Verification and Refinement of Actor-Based Models of Computation Joachim Falk, Jens Gladigau, Christian Haubelt, Joachim Keinert, Martin Streubühr, and Jürgen Teich {falk, haubelt}@cs.fau.de Hardware-Software-Co-Design

More information