HVSoCs: A Framework for Rapid Prototyping of 3-D Hybrid Virtual System-on-Chips

Size: px
Start display at page:

Download "HVSoCs: A Framework for Rapid Prototyping of 3-D Hybrid Virtual System-on-Chips"

Transcription

1 on introducing a new design paradigm HVSoCs: A Framework for Rapid Prototyping of 3-D Hybrid Virtual System-on-Chips D. Diamantopoulos, K. Siozios, E. Sotiriou-Xanthopoulos, G. Economakos and D. Soudris School of Electrical and Computer Engineering National Technical University of Athens, Greece {diamantd, ksiop, stasot, geconom, dsoudris}@microlab.ntua.gr

2 Presentation Outline Introduction Motivation Proposed methodology for supporting HVSoC Experimental results Conclusions & Questions

3 System Design Is today s SoC technology enough for future IC industry? Conventional System-on-Chip Good time-to-market But is it OK for: Development before the availability of physical prototype? Simulation of advanced SoC designs? Heterogeneous systems co-simulation? Modular verification? Higher functionality per mm 2?... under time-to-market pressure

4 Motivation (1/2) high-level exploration and optimization Computer Vision algorithms (C/ C++/ VHDL) Profiling Behavioral optimization Partitioning Step1 Step2 Step3 Step4 Modules to be implemented on coarse-grain hardware HDL implementation Modules to be implemented on fine-grain hardware Modules to be implemented on CPU Software implementation Step5 Tools for mapping on FPGA platform Tools for mapping on CPU Xilinx EDK System integration Back end tools Step6 Configuration & Run-time Step7

5 Motivation (2/2) Hardware

6 Motivation (2/2) Hardware

7 3D integration: What, Why, When Impact of process technology to delay As submicron technology shrinks, RC interconnection delay dominates. Interconnection Delay 2:1 9:1 Gate delay Source: ITRS - Interconnect

8 More than Moore age is coming Battery MEMS DNA Chip Image Sensor RF Chip Processor Memory Highly intergraded systems might be fabricated at various technologies! 3-D results to reduced interconnection delay and higher functionality per space!

9 3-D Integration: It is already here

10 Short, medium and long term path to 3D-IC Cadence states that Packaging has become sexy! Cadence has already released Encounter Digital Implementation System (EDI).

11 Our Contribution Physical design flow implementation including Rapid Virtual System Prototyping (Topdown SoC design). 3D HVSoC Implementation of demonstrators for 3D SoCs. 3D-Pathfinding (Partitioning, Floorplan, Global Routing) for SoC Evaluation.

12 3D HVSoC: A new design concept Virtual prototyping: accelerates pre-rtl embedded software development, HW/SW integration, and system validation. helps starting multi-core SoC prototyping earlier. improves software debug visibility. Compatible with the simulated target hardware, allowing the development of software that can run unmodified on the equivalent hardware platform. SW Challenges Growing software content requires more and better testing throughout the development cycles Virtual Prototyping Virtual Prototyping provides a powerful solution for SW development. system integration, test and verification HW Challenges High complexity of hardware systems requires extended design & verification time which leads to high time-to-market. Connects to the real world using the physical interface hardware of the host PC (i.e. Ethernet), allowing the device drivers and application testing with real-world stimuli. HWdependent SW Development SWdependent HW

13 Proposed Methodology System Modeling Proposed Rapid Virtual Prototyping System Integration ASIC All-Software solution (e.g. ROS, UML, OpenCV...) FPGA RTL Technology Libraries Timing Constraints μp SystemC-TLM SystemC peripherals μp Target System Design Constraints e.g. Profiling (e.g. Valgrind, Vtune) Hardware-dependent software (e.g. Software running on ARM) Conventional Prototyping 3D System Integration Evaluation Analysis Area-Power-Delay Evaluation Co-Debugging RUN-TIME! Phase B2: 3-D ASIC Physical Implementation HW/SW Partitioning Proposed Hybrid Prototyping 1 st step 2 nd step 3 rd step Pre-processing 3-D Stack 3-D System Step Generation Prototyping Control-flow software (e.g. Software running on x86 host) HotTalk API (Device driver, SW stack) 3-D stacking Design Constraints 3D Design Strategy P&R Options FPGA-in-the-loop Early Prototyping Custom HW IPs (e.g. Application running on FPGA) System Modeling (e.g. SystemC) High-level Synthesis (e.g. Cadence C-to-Silicon, Xilinx Vivado) Virtual Library RTL-Library TLM-SystemC Interconnection Bus TLM-SystemC models Technology Library Virtual 3D OpenRISC PLUG & CHIP 3-D System Pareto Solutions

14 The proposed methodology in detail: PHASE A: Virtual Prototyping PHASE B: Design of 3-D Architectures

15 Phase A: Virtual Prototyping Phase A: HVSoC Prototyping TLM-SystemC Interconnection Bus TLM-SystemC models Virtual Library High-level System Modeling New functionality is developed in SystemC I/O with SoC using TLM sockets Configurable SystemC/TLM Case study: Processor Leon3 (TRAP Gen POLIMI : Generation of SystemC and TLM based Instruction Set Simulators (ISS)) RTL-Simulation New IP is developed in SystemC HDL-level System Modeling Embedded Processors IP Reuse Silicon proven functionality RTL-Library RTL Interconnection Bus RTL-SoC Configurable RTL Leon3 (VHDL) Communication with SoC s bus using TLM sockets Easy integration of desired functionlity on top of AMBA protocol

16 Phase A: Virtual Prototyping Phase A: HVSoC Prototyping TLM-SystemC Interconnection Bus TLM-SystemC models Virtual Library High-level System Modeling High-level Synthesis (Cadence C-to-Silicon) Co-Simulation (Cadence Incisive) HVSoC-RTL HDL-level System Modeling Gate Synthesis (Cadence Encounter RTL Compiler) RTL-Library RTL Interconnection Bus RTL-SoC Co-simulation of transaction (SystemC) and RTL level (VHDL) on Cadence Incisive Simulator. Transaction Level Analysis capabilities put on top of SystemC. SystemC Abstract domain <-> VHDL Signal Domain Generates pipelined data path and control logic Considers real timing constraints during RTL generation Explores implementation tradeoffs

17 Phase A: Virtual Prototyping Phase A: HVSoC Prototyping TLM-SystemC Interconnection Bus TLM-SystemC models Virtual Library High-level System Modeling High-level Synthesis (Cadence C-to-Silicon) Co-Simulation (Cadence Incisive) HVSoC-RTL HDL-level System Modeling Gate Synthesis (Cadence Encounter RTL Compiler) RTL-Library RTL Interconnection Bus RTL-SoC Top-down NEW IP implementation NEW IP (SystemC) High-level Synthesis (Cadence C-to-Silicon) NEW IP (VHDL) AHB TVM AHB RTL LEON3 Processor based SoC 7-Stage Integer Unit AHB Interface AHB Controller Register File (256B) AMBA AHB (High-Speed Bus) 8/32-bits memory bus Memory Controller Control Core Instruction-Cache (4KB) Data-Cache (4KB) AHB/APB Bridge UART (1KB) RS232 Debug Serial Unit (1KB) AMBA APB (Peripheral Bus) Timers IrqCtrl I/O port PROM VHDL SystemC I/O SDRAM RS232 WDOG 16-bit I/O port

18 The proposed methodology in detail: PHASE A: Virtual Prototyping PHASE B: Design of 3-D Architectures

19 Total Wirelength 2D Design Parameters Phase B: Design of 3-D Architectures 1. 2D System Prototyping 2. 3D Stack Generation 3. 3D System Prototyping HDL SoC Design (LEON3) Technology Libraries Timing constraints Placement, Routing Policies Functional Simulation Gate-Level Synthesis Floorplan - Placement Global/Detail Routing System Partitioning Partitioning to Layer Layer Ordering Floorplan Placement (TSVs, macro blocks) Global Routing 3D Design Parameters TSV s Library Interconnection Options 3D Design Strategy 4. Evaluation Analysis Area-Power-Delay Evaluation No Performance Degradation Power Consumtion 5. Overall Pareto Configurations

20 2D Design Parameters Phase B: 2-D System Prototyping Technology Libraries Timing constraints Placement, Routing Policies 1. 2D System Prototyping HDL SoC Design (LEON3) Functional Simulation Gate-Level Synthesis Floorplan - Placement Global/Detail Routing This task includes the EDA tools for conventional 2-D physical prototyping Simulation with Cadence Incisive Simulator Synthesis with Synopsys Design Compiler Physical synthesis with Cadence SOC Encounter Post-layout Sim. with Cadence Incisive Simulator Power Analysis with Synopsys Primetime PX From this step we extract the functional integrity for the SoC design along with metrics: size of components activity per component power profile

21 Phase B: 3-D Stack Generation The application's components have to be assigned at architecture layers, under specific design constraints Application Graph Application Functions Communication between Logic Functions 3DPart [1] Cost_function (edgecut,area,balance) Number of layers Interlayer connections Power/delay constraints 2. 3-D Stack Generation edgecut=74 System Partitioning Partitioning to Layer Layer Ordering 3-D Stack Graph (2 layers) By appropriately controlling the weighting factors, the resulting partitioning can be optimized either to minimize the number of inter-die connections, the area balance and power variation edgecut=206 edgecut= Application Functions Communication between Logic Functions Inter-die Connections 3-D Stack Graph (4 layers) Derived Constrained Solutions [1] K. Siozios and D. Soudris, A Tabu-based Partitioning Algorithm for Application Mapping onto 3-D FPGAs, IEEE Embedded Systems Letters, Vol. 3, No. 3, September edgecut=39

22 Phase B: 3-D System Prototyping [1] Application Graph Platform Graph Optimal 3-D Stack Graph Application Functions Communication between Logic Functions Architecture Elements Communication path between HW elements Appropriate Input from previous steps Application Functions Communication between Logic Functions Inter-die Connections D System Prototyping Floorplan Placement (TSVs, macro blocks) Global Routing 3D Design Parameters TSV s Library Interconnection Options 3D Design Strategy 3DSystem Prototyping Layer 1 Assign TSVs to interconnection buses Floorplan this layer Conventional LEON3 Physical layout 3-D LEON3 (2 tiers) Then, the IPs assigned to each layer are P&R and a number of parameters related to interconnection network are retrieved. Layer 2 Place Macro blocks + TSVs (this layer) Route (this layer) Propagate Constraints (other layers) [1] K. Siozios, et al., "A method and tool for early design/technology search-space exploration for 3D ICs", VLSI-SoC, 2008.

23 Phase B: 3-D System Prototyping (proposed) Application Graph Platform Graph Optimal 3-D Stack Graph Application Functions Communication between Logic Functions Architecture Elements Communication path between HW elements Appropriate Input from previous steps Application Functions Communication between Logic Functions Inter-die Connections Uniform Layer (Virtual Layer + TSV nets) D System Prototyping Floorplan Placement (TSVs, macro blocks) Global Routing 3D Design Parameters TSV s Library Interconnection Options 3D Design Strategy 3DSystem Prototyping Assign TSVs to interconnection buses Floorplan Virtual layer Conventional LEON3 Physical layout 3-D LEON3 (Virtual tier) Place Macro blocks + TSV Nets Then, all the IPs are P&R simultaneously and a number of parameters related to interconnection network are retrieved. Route Virtual layer Propagate Constraints (other layers) [1] D. Diamantopoulos, et. al., A Framework for Performing Rapid Evaluation of 3-D SoCs, IET Electronics Letters, pp , June 2012.

24 Phase B: 3-D System Prototyping (Virtual Layers TSV Nets) Uniform Layer (Virtual Layer + TSV nets) Layer-1 Nets New 4-direction Vias Layer-2 Nets New Via Rules Fill New Stack Layer-N Nets 3-D LEON3 (Virtual tier) New Via Rules for Via DB-LIB DB-LEF TSV Nets New Metal Layers Virtual TSV Layer-2 Layer-N Virtual TSV Layer-1 Layer-2 New Segment Spacing Tables New Via array genegarion The virtual layers are generated by replicating the technology metal layers. TSV s are represented as special (extra) metal layers with fixed RLC values. For each virtual layer and TSV, almost 34 extra text fields are added to physical library files.

25 Phase B: Evaluation Analysis Uniform Layer (Virtual Layer + TSV nets) Power consumption for logic blocks in 2-D and 3-D system implementation are exactly the same. Power consumption at TSVs differs, since it is tightly firmed to the final P&R of the 3-D system Physical Hypergraph Netlist2Graph DBAccess + TCL + C 3-D LEON3 (Virtual tier) 4. Evaluation Analysis Area-Power-Delay Evaluation Design Basic Blocks TSVs Block Physical Net Buses 3-D IC Metrics P A D ower (mw) rea (mm^2) elay (ns) PowerCalc PrimetimePX + Ncsim + C + TCL + Bash Basic-Block s Power Profile by 2-D System Prototyping Delay, Capacitance by 3-D System Prototyping Evaluation with both commercial and new academic tools

26 Test Case: The SPARTAN/SEXTANT Systems

27 Case Study: SPARTAN System SPARTAN system organized as a combination of algorithms implemented in a FPGA plus a central processing component acting as an interface to rover sensors. Stereo-vision images are the main source of data to feed the SPARTAN system Central processor built on top of ROS (Robot Operating System) SPARTAN Architecture SPARTAN Sensors Vision Stereo System Imaging 3D Map reconstruct. Visual Odometry Map Merging IMU Acc + Gyros Visual SLAM Path Planning Mechanical Odometer Proximity Sensors Localisation GNC Guidance - Navigation Control

28 SPARTAN System: Visual Odometry The Visual Odometry component computes the pose of the rover based on the estimated displacement between two consecutive instants of time. 1 Landmark Detection The landmark detection module comprises the features extraction methodology from an image Landmark 3D Reconstruction The 2D features extracted from the images transformed into 3D coordinates Landmark Matching The matched features are used for the estimation of the instantaneous rotation angle of the robot The two centers of the matched features in the two successive images are computed.

29 Evaluation Results: Case study LEON3 We designed a low-power 3-D instantiation of LEON3 RISC8 processor with 2 layers Technology : UMC 0.13μm (both layers) Control Core LEON3 Processor Timing constraint: 4.25ns (230MHz) Total std. cells: Custom Memory Models (no-distributed logic) RS232 7-Stage Integer Unit AHB Interface Register File (256B) Instruction-Cache (4KB) Data-Cache (4KB) Debug Serial Unit (1KB) AMBA AHB (High-Speed Bus) AHB Controller Memory Controller AHB/APB Bridge UART (1KB) AMBA APB (Peripheral Bus) Timers IrqCtrl I/O port 8/32-bits memory bus PROM I/O SDRAM RS232 WDOG 16-bit I/O port Layer 1 Layer 2 External blocks

30 Brainstorming on SoC Encounter 3d-hacking

31 Brainstorming on SoC Encounter 3d-hacking

32 Evaluation Results: Power gains Average power savings of 21% on average, as compared to the corresponding 2D implementation without performance degradation. Wirelength reduction of 26% (from to um) Partitioning was tuned for TSV-minimization. Characteristic 3D 2D Layer 1 (Logic) Layer 2 (Memory) Wire-length (um) 855, , ,440 Half-perimeter (um) 823, ,010 97,527 Number of TSVs Area reserved by TSVs (mm 2 ) Aspect ratio Area per layer 2.89 mm mm mm 2

33

UCLA 3D research started in 2002 under DARPA with CFDRC

UCLA 3D research started in 2002 under DARPA with CFDRC Coping with Vertical Interconnect Bottleneck Jason Cong UCLA Computer Science Department cong@cs.ucla.edu http://cadlab.cs.ucla.edu/ cs edu/~cong Outline Lessons learned Research challenges and opportunities

More information

A Framework for Rapid System-Level Synthesis Targeting to Reconfigurable Platforms

A Framework for Rapid System-Level Synthesis Targeting to Reconfigurable Platforms A Framework for Rapid System-Level Synthesis Targeting to Reconfigurable Platforms A Computer Vision Case Study Dionysios Diamantopoulos, Ioannis Galanis, Kostas Siozios, George Economakos and Dimitrios

More information

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools Wen-Yen Lin, Ph.D. Department of Electrical Engineering Chang Gung University Email: wylin@mail.cgu.edu.tw March 2013 Agenda Introduction

More information

System Level Design with IBM PowerPC Models

System Level Design with IBM PowerPC Models September 2005 System Level Design with IBM PowerPC Models A view of system level design SLE-m3 The System-Level Challenges Verification escapes cost design success There is a 45% chance of committing

More information

The SOCks Design Platform. Johannes Grad

The SOCks Design Platform. Johannes Grad The SOCks Design Platform Johannes Grad System-on-Chip (SoC) Design Combines all elements of a computer onto a single chip Microprocessor Memory Address- and Databus Periphery Application specific logic

More information

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS Embedded System System Set of components needed to perform a function Hardware + software +. Embedded Main function not computing Usually not autonomous

More information

An Overview of Standard Cell Based Digital VLSI Design

An Overview of Standard Cell Based Digital VLSI Design An Overview of Standard Cell Based Digital VLSI Design With examples taken from the implementation of the 36-core AsAP1 chip and the 1000-core KiloCore chip Zhiyi Yu, Tinoosh Mohsenin, Aaron Stillmaker,

More information

An overview of standard cell based digital VLSI design

An overview of standard cell based digital VLSI design An overview of standard cell based digital VLSI design Implementation of the first generation AsAP processor Zhiyi Yu and Tinoosh Mohsenin VCL Laboratory UC Davis Outline Overview of standard cellbased

More information

Verification Futures The next three years. February 2015 Nick Heaton, Distinguished Engineer

Verification Futures The next three years. February 2015 Nick Heaton, Distinguished Engineer Verification Futures The next three years February 2015 Nick Heaton, Distinguished Engineer Let s rewind to November 2011 2 2014 Cadence Design Systems, Inc. All rights reserved. November 2011 SoC Integration

More information

AN OPEN-SOURCE VHDL IP LIBRARY WITH PLUG&PLAY CONFIGURATION

AN OPEN-SOURCE VHDL IP LIBRARY WITH PLUG&PLAY CONFIGURATION AN OPEN-SOURCE VHDL IP LIBRARY WITH PLUG&PLAY CONFIGURATION Jiri Gaisler Gaisler Research, Första Långgatan 19, 413 27 Göteborg, Sweden Abstract: Key words: An open-source IP library based on the AMBA-2.0

More information

100M Gate Designs in FPGAs

100M Gate Designs in FPGAs 100M Gate Designs in FPGAs Fact or Fiction? NMI FPGA Network 11 th October 2016 Jonathan Meadowcroft, Cadence Design Systems Why in the world, would I do that? ASIC replacement? Probably not! Cost prohibitive

More information

Early Models in Silicon with SystemC synthesis

Early Models in Silicon with SystemC synthesis Early Models in Silicon with SystemC synthesis Agility Compiler summary C-based design & synthesis for SystemC Pure, standard compliant SystemC/ C++ Most widely used C-synthesis technology Structural SystemC

More information

ASYNC Rik van de Wiel COO Handshake Solutions

ASYNC Rik van de Wiel COO Handshake Solutions ASYNC 2006 Rik van de Wiel COO Handshake Solutions Outline Introduction to Handshake Solutions Applications Design Tools ARM996HS Academic Program Handshake Solutions Started as research project in Philips

More information

ECE 448 Lecture 15. Overview of Embedded SoC Systems

ECE 448 Lecture 15. Overview of Embedded SoC Systems ECE 448 Lecture 15 Overview of Embedded SoC Systems ECE 448 FPGA and ASIC Design with VHDL George Mason University Required Reading P. Chu, FPGA Prototyping by VHDL Examples Chapter 8, Overview of Embedded

More information

Low energy and High-performance Embedded Systems Design and Reconfigurable Architectures

Low energy and High-performance Embedded Systems Design and Reconfigurable Architectures Low energy and High-performance Embedded Systems Design and Reconfigurable Architectures Ass. Professor Dimitrios Soudris School of Electrical and Computer Eng., National Technical Univ. of Athens, Greece

More information

IMPROVES. Initial Investment is Low Compared to SoC Performance and Cost Benefits

IMPROVES. Initial Investment is Low Compared to SoC Performance and Cost Benefits NOC INTERCONNECT IMPROVES SOC ECONO CONOMICS Initial Investment is Low Compared to SoC Performance and Cost Benefits A s systems on chip (SoCs) have interconnect, along with its configuration, verification,

More information

Multi processor systems with configurable hardware acceleration

Multi processor systems with configurable hardware acceleration Multi processor systems with configurable hardware acceleration Ph.D in Electronics, Computer Science and Telecommunications Ph.D Student: Davide Rossi Ph.D Tutor: Prof. Roberto Guerrieri Outline Motivations

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

Fujitsu SOC Fujitsu Microelectronics America, Inc.

Fujitsu SOC Fujitsu Microelectronics America, Inc. Fujitsu SOC 1 Overview Fujitsu SOC The Fujitsu Advantage Fujitsu Solution Platform IPWare Library Example of SOC Engagement Model Methodology and Tools 2 SDRAM Raptor AHB IP Controller Flas h DM A Controller

More information

Hardware Design and Simulation for Verification

Hardware Design and Simulation for Verification Hardware Design and Simulation for Verification by N. Bombieri, F. Fummi, and G. Pravadelli Universit`a di Verona, Italy (in M. Bernardo and A. Cimatti Eds., Formal Methods for Hardware Verification, Lecture

More information

Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs. August 2015

Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs. August 2015 Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs August 2015 SPMI USB 2.0 SLIMbus RFFE LPDDR 2 LPDDR 3 emmc 4.5 UFS SD 3.0 SD 4.0 UFS Bare Metal Software DSP Software Bare Metal Software

More information

Introduction to System-on-Chip

Introduction to System-on-Chip Introduction to System-on-Chip COE838: Systems-on-Chip Design http://www.ee.ryerson.ca/~courses/coe838/ Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrical and Computer Engineering Ryerson University

More information

Verification Futures Nick Heaton, Distinguished Engineer, Cadence Design Systems

Verification Futures Nick Heaton, Distinguished Engineer, Cadence Design Systems Verification Futures 2016 Nick Heaton, Distinguished Engineer, Cadence Systems Agenda Update on Challenges presented in 2015, namely Scalability of the verification engines The rise of Use-Case Driven

More information

Design methodology for multi processor systems design on regular platforms

Design methodology for multi processor systems design on regular platforms Design methodology for multi processor systems design on regular platforms Ph.D in Electronics, Computer Science and Telecommunications Ph.D Student: Davide Rossi Ph.D Tutor: Prof. Roberto Guerrieri Outline

More information

OSCI Update. Guido Arnout OSCI Chief Strategy Officer CoWare Chairman & Founder

OSCI Update. Guido Arnout OSCI Chief Strategy Officer CoWare Chairman & Founder OSCI Update Guido Arnout OSCI Chief Strategy Officer CoWare Chairman & Founder Chief Strategy Officer charter Ensure that OSCI strategy is created, coordinated, communicated & executed Identify OSCI technical

More information

ESA Contract 18533/04/NL/JD

ESA Contract 18533/04/NL/JD Date: 2006-05-15 Page: 1 EUROPEAN SPACE AGENCY CONTRACT REPORT The work described in this report was done under ESA contract. Responsibility for the contents resides in the author or organisation that

More information

Transaction Level Modeling with SystemC. Thorsten Grötker Engineering Manager Synopsys, Inc.

Transaction Level Modeling with SystemC. Thorsten Grötker Engineering Manager Synopsys, Inc. Transaction Level Modeling with SystemC Thorsten Grötker Engineering Manager Synopsys, Inc. Outline Abstraction Levels SystemC Communication Mechanism Transaction Level Modeling of the AMBA AHB/APB Protocol

More information

VLSI Design of Multichannel AMBA AHB

VLSI Design of Multichannel AMBA AHB RESEARCH ARTICLE OPEN ACCESS VLSI Design of Multichannel AMBA AHB Shraddha Divekar,Archana Tiwari M-Tech, Department Of Electronics, Assistant professor, Department Of Electronics RKNEC Nagpur,RKNEC Nagpur

More information

Intellectual Property Macrocell for. SpaceWire Interface. Compliant with AMBA-APB Bus

Intellectual Property Macrocell for. SpaceWire Interface. Compliant with AMBA-APB Bus Intellectual Property Macrocell for SpaceWire Interface Compliant with AMBA-APB Bus L. Fanucci, A. Renieri, P. Terreni Tel. +39 050 2217 668, Fax. +39 050 2217522 Email: luca.fanucci@iet.unipi.it - 1 -

More information

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica VLSI Design Automation 1 Outline Technology trends VLSI Design flow (an overview) 2 IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing

More information

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Hardware Design Environments Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Outline Welcome to COE 405 Digital System Design Design Domains and Levels of Abstractions Synthesis

More information

Energy Estimation Based on Hierarchical Bus Models for Power-Aware Smart Cards

Energy Estimation Based on Hierarchical Bus Models for Power-Aware Smart Cards Energy Estimation Based on Hierarchical Bus Models for Power-Aware Smart Cards U. Neffe, K. Rothbart, Ch. Steger, R. Weiss Graz University of Technology Inffeldgasse 16/1 8010 Graz, AUSTRIA {neffe, rothbart,

More information

Software Driven Verification at SoC Level. Perspec System Verifier Overview

Software Driven Verification at SoC Level. Perspec System Verifier Overview Software Driven Verification at SoC Level Perspec System Verifier Overview June 2015 IP to SoC hardware/software integration and verification flows Cadence methodology and focus Applications (Basic to

More information

Does FPGA-based prototyping really have to be this difficult?

Does FPGA-based prototyping really have to be this difficult? Does FPGA-based prototyping really have to be this difficult? Embedded Conference Finland Andrew Marshall May 2017 What is FPGA-Based Prototyping? Primary platform for pre-silicon software development

More information

Performance Verification for ESL Design Methodology from AADL Models

Performance Verification for ESL Design Methodology from AADL Models Performance Verification for ESL Design Methodology from AADL Models Hugues Jérome Institut Supérieur de l'aéronautique et de l'espace (ISAE-SUPAERO) Université de Toulouse 31055 TOULOUSE Cedex 4 Jerome.huges@isae.fr

More information

System-on-Chip Design for Wireless Communications

System-on-Chip Design for Wireless Communications System-on-Chip Design for Wireless Communications Stamenkovic, Zoran Frankfurt (Oder), Germany, February 9-10, 2016 DFG-Workshop on Advanced Wireless Sensor Networks Agenda 1 Wireless Systems (Hardware/Software

More information

Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany

Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany 2013 The MathWorks, Inc. 1 Agenda Model-Based Design of embedded Systems Software Implementation

More information

Stacked Silicon Interconnect Technology (SSIT)

Stacked Silicon Interconnect Technology (SSIT) Stacked Silicon Interconnect Technology (SSIT) Suresh Ramalingam Xilinx Inc. MEPTEC, January 12, 2011 Agenda Background and Motivation Stacked Silicon Interconnect Technology Summary Background and Motivation

More information

Runtime Adaptation of Application Execution under Thermal and Power Constraints in Massively Parallel Processor Arrays

Runtime Adaptation of Application Execution under Thermal and Power Constraints in Massively Parallel Processor Arrays Runtime Adaptation of Application Execution under Thermal and Power Constraints in Massively Parallel Processor Arrays Éricles Sousa 1, Frank Hannig 1, Jürgen Teich 1, Qingqing Chen 2, and Ulf Schlichtmann

More information

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES DIGITAL DESIGN TECHNOLOGY & TECHNIQUES CAD for ASIC Design 1 INTEGRATED CIRCUITS (IC) An integrated circuit (IC) consists complex electronic circuitries and their interconnections. William Shockley et

More information

FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC)

FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC) FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC) D.Udhayasheela, pg student [Communication system],dept.ofece,,as-salam engineering and technology, N.MageshwariAssistant Professor

More information

Glossary. AHDL A Hardware Description Language, such as Verilog-A, SpectreHDL, or VHDL-A, used to describe analog designs.

Glossary. AHDL A Hardware Description Language, such as Verilog-A, SpectreHDL, or VHDL-A, used to describe analog designs. Glossary ADC, A/D Analog-to-Digital Converter. AHDL A Hardware Description Language, such as Verilog-A, SpectreHDL, or VHDL-A, used to describe analog designs. AMBA Advanced Microcontroller Bus Architecture.

More information

ECE 111 ECE 111. Advanced Digital Design. Advanced Digital Design Winter, Sujit Dey. Sujit Dey. ECE Department UC San Diego

ECE 111 ECE 111. Advanced Digital Design. Advanced Digital Design Winter, Sujit Dey. Sujit Dey. ECE Department UC San Diego Advanced Digital Winter, 2009 ECE Department UC San Diego dey@ece.ucsd.edu http://esdat.ucsd.edu Winter 2009 Advanced Digital Objective: of a hardware-software embedded system using advanced design methodologies

More information

Digital Design Methodology (Revisited) Design Methodology: Big Picture

Digital Design Methodology (Revisited) Design Methodology: Big Picture Digital Design Methodology (Revisited) Design Methodology Design Specification Verification Synthesis Technology Options Full Custom VLSI Standard Cell ASIC FPGA CS 150 Fall 2005 - Lec #25 Design Methodology

More information

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey System-on-Chip Architecture for Mobile Applications Sabyasachi Dey Email: sabyasachi.dey@gmail.com Agenda What is Mobile Application Platform Challenges Key Architecture Focus Areas Conclusion Mobile Revolution

More information

A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes

A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes Presented at Design Automation Conference (DAC) San Francisco, CA, June 4, 2012. Presented by Chuck Cruse FPGA Hardware

More information

Evolution of CAD Tools & Verilog HDL Definition

Evolution of CAD Tools & Verilog HDL Definition Evolution of CAD Tools & Verilog HDL Definition K.Sivasankaran Assistant Professor (Senior) VLSI Division School of Electronics Engineering VIT University Outline Evolution of CAD Different CAD Tools for

More information

Digital Design Methodology

Digital Design Methodology Digital Design Methodology Prof. Soo-Ik Chae Digital System Designs and Practices Using Verilog HDL and FPGAs @ 2008, John Wiley 1-1 Digital Design Methodology (Added) Design Methodology Design Specification

More information

Design Space Exploration Using Parameterized Cores

Design Space Exploration Using Parameterized Cores RESEARCH CENTRE FOR INTEGRATED MICROSYSTEMS UNIVERSITY OF WINDSOR Design Space Exploration Using Parameterized Cores Ian D. L. Anderson M.A.Sc. Candidate March 31, 2006 Supervisor: Dr. M. Khalid 1 OUTLINE

More information

COE 561 Digital System Design & Synthesis Introduction

COE 561 Digital System Design & Synthesis Introduction 1 COE 561 Digital System Design & Synthesis Introduction Dr. Aiman H. El-Maleh Computer Engineering Department King Fahd University of Petroleum & Minerals Outline Course Topics Microelectronics Design

More information

System on Chip (SoC) Design

System on Chip (SoC) Design System on Chip (SoC) Design Moore s Law and Technology Scaling the performance of an IC, including the number components on it, doubles every 18-24 months with the same chip price... - Gordon Moore - 1960

More information

Synthesizable FPGA Fabrics Targetable by the VTR CAD Tool

Synthesizable FPGA Fabrics Targetable by the VTR CAD Tool Synthesizable FPGA Fabrics Targetable by the VTR CAD Tool Jin Hee Kim and Jason Anderson FPL 2015 London, UK September 3, 2015 2 Motivation for Synthesizable FPGA Trend towards ASIC design flow Design

More information

Computer and Hardware Architecture II. Benny Thörnberg Associate Professor in Electronics

Computer and Hardware Architecture II. Benny Thörnberg Associate Professor in Electronics Computer and Hardware Architecture II Benny Thörnberg Associate Professor in Electronics Parallelism Microscopic vs Macroscopic Microscopic parallelism hardware solutions inside system components providing

More information

VLSI Design Automation. Maurizio Palesi

VLSI Design Automation. Maurizio Palesi VLSI Design Automation 1 Outline Technology trends VLSI Design flow (an overview) 2 Outline Technology trends VLSI Design flow (an overview) 3 IC Products Processors CPU, DSP, Controllers Memory chips

More information

Validation Strategies with pre-silicon platforms

Validation Strategies with pre-silicon platforms Validation Strategies with pre-silicon platforms Shantanu Ganguly Synopsys Inc April 10 2014 2014 Synopsys. All rights reserved. 1 Agenda Market Trends Emulation HW Considerations Emulation Scenarios Debug

More information

DEVELOPMENT AND VERIFICATION OF AHB2APB BRIDGE PROTOCOL USING UVM TECHNIQUE

DEVELOPMENT AND VERIFICATION OF AHB2APB BRIDGE PROTOCOL USING UVM TECHNIQUE DEVELOPMENT AND VERIFICATION OF AHB2APB BRIDGE PROTOCOL USING UVM TECHNIQUE N.G.N.PRASAD Assistant Professor K.I.E.T College, Korangi Abstract: The AMBA AHB is for high-performance, high clock frequency

More information

Extending Fixed Subsystems at the TLM Level: Experiences from the FPGA World

Extending Fixed Subsystems at the TLM Level: Experiences from the FPGA World I N V E N T I V E Extending Fixed Subsystems at the TLM Level: Experiences from the FPGA World Frank Schirrmeister, Steve Brown, Larry Melling (Cadence) Dave Beal (Xilinx) Agenda Virtual Platforms Xilinx

More information

Monolithic 3D IC Design for Deep Neural Networks

Monolithic 3D IC Design for Deep Neural Networks Monolithic 3D IC Design for Deep Neural Networks 1 with Application on Low-power Speech Recognition Kyungwook Chang 1, Deepak Kadetotad 2, Yu (Kevin) Cao 2, Jae-sun Seo 2, and Sung Kyu Lim 1 1 School of

More information

Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design

Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design Wei-Jin Dai, Dennis Huang, Chin-Chih Chang, Michel Courtoy Cadence Design Systems, Inc. Abstract A design methodology for the implementation

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

ARM Processors for Embedded Applications

ARM Processors for Embedded Applications ARM Processors for Embedded Applications Roadmap for ARM Processors ARM Architecture Basics ARM Families AMBA Architecture 1 Current ARM Core Families ARM7: Hard cores and Soft cores Cache with MPU or

More information

Integrating Instruction Set Simulator into a System Level Design Environment

Integrating Instruction Set Simulator into a System Level Design Environment Integrating Instruction Set Simulator into a System Level Design Environment A Thesis Presented by Akash Agarwal to The Department of Electrical and Computer Engineering in partial fulfillment of the requirements

More information

Thermal optimization for micro-architectures through selective block replication

Thermal optimization for micro-architectures through selective block replication Thermal optimization for micro-architectures through selective block replication Dionisios Diamantopoulos, Kostas Siozios, Sotiris Xydis and Dimitrios Soudris School of Electrical and Computer Engineering

More information

Park Sung Chul. AE MentorGraphics Korea

Park Sung Chul. AE MentorGraphics Korea PGA Design rom Concept to Silicon Park Sung Chul AE MentorGraphics Korea The Challenge of Complex Chip Design ASIC Complex Chip Design ASIC or FPGA? N FPGA Design FPGA Embedded Core? Y FPSoC Design Considerations

More information

Overview. Design flow. Principles of logic synthesis. Logic Synthesis with the common tools. Conclusions

Overview. Design flow. Principles of logic synthesis. Logic Synthesis with the common tools. Conclusions Logic Synthesis Overview Design flow Principles of logic synthesis Logic Synthesis with the common tools Conclusions 2 System Design Flow Electronic System Level (ESL) flow System C TLM, Verification,

More information

Integrated Development Environment

Integrated Development Environment Integrated Development Environment WWW.ANDESTECH.COM 1 IDE Page 2 2 Toolchains IDE AndESLive Simulator AICE AndESLive Builder AndeShape AndeSight AndESLive Page 3 3 AndeSight IDE Window View Perspective

More information

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi Digital System Design Lecture 2: Design Amir Masoud Gharehbaghi amgh@mehr.sharif.edu Table of Contents Design Methodologies Overview of IC Design Flow Hardware Description Languages Brief History of HDLs

More information

Hardware Software Co-design and SoC. Neeraj Goel IIT Delhi

Hardware Software Co-design and SoC. Neeraj Goel IIT Delhi Hardware Software Co-design and SoC Neeraj Goel IIT Delhi Introduction What is hardware software co-design Some part of application in hardware and some part in software Mpeg2 decoder example Prediction

More information

ASIC, Customer-Owned Tooling, and Processor Design

ASIC, Customer-Owned Tooling, and Processor Design ASIC, Customer-Owned Tooling, and Processor Design Design Style Myths That Lead EDA Astray Nancy Nettleton Manager, VLSI ASIC Device Engineering April 2000 Design Style Myths COT is a design style that

More information

Extending TASTE through integration with Space Studio

Extending TASTE through integration with Space Studio Extending TASTE through integration with Space Studio Guy Bois, Laurent Moss - Space Codesign Systems Marc Pollina, Yan Leclerc - M3 Systems www.spacecodesign.com Outline 1) Overview of the Space Studio

More information

Processor and Peripheral IP Cores for Microcontrollers in Embedded Space Applications

Processor and Peripheral IP Cores for Microcontrollers in Embedded Space Applications Processor and Peripheral IP Cores for Microcontrollers in Embedded Space Applications Presentation at ADCSS 2010 MESA November 4 th, 2010 www.aeroflex.com/gaisler Presentation outline Microcontroller requirements

More information

Software Defined Modem A commercial platform for wireless handsets

Software Defined Modem A commercial platform for wireless handsets Software Defined Modem A commercial platform for wireless handsets Charles F Sturman VP Marketing June 22 nd ~ 24 th Brussels charles.stuman@cognovo.com www.cognovo.com Agenda SDM Separating hardware from

More information

ESE Back End 2.0. D. Gajski, S. Abdi. (with contributions from H. Cho, D. Shin, A. Gerstlauer)

ESE Back End 2.0. D. Gajski, S. Abdi. (with contributions from H. Cho, D. Shin, A. Gerstlauer) ESE Back End 2.0 D. Gajski, S. Abdi (with contributions from H. Cho, D. Shin, A. Gerstlauer) Center for Embedded Computer Systems University of California, Irvine http://www.cecs.uci.edu 1 Technology advantages

More information

Pilot: A Platform-based HW/SW Synthesis System

Pilot: A Platform-based HW/SW Synthesis System Pilot: A Platform-based HW/SW Synthesis System SOC Group, VLSI CAD Lab, UCLA Led by Jason Cong Zhong Chen, Yiping Fan, Xun Yang, Zhiru Zhang ICSOC Workshop, Beijing August 20, 2002 Outline Overview The

More information

Hardware/Software Co-design

Hardware/Software Co-design Hardware/Software Co-design Zebo Peng, Department of Computer and Information Science (IDA) Linköping University Course page: http://www.ida.liu.se/~petel/codesign/ 1 of 52 Lecture 1/2: Outline : an Introduction

More information

The Use Of Virtual Platforms In MP-SoC Design. Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006

The Use Of Virtual Platforms In MP-SoC Design. Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006 The Use Of Virtual Platforms In MP-SoC Design Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006 1 MPSoC Is MP SoC design happening? Why? Consumer Electronics Complexity Cost of ASIC Increased SW Content

More information

Case study of Mixed Signal Design Flow

Case study of Mixed Signal Design Flow IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 3, Ver. II (May. -Jun. 2016), PP 49-53 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Case study of Mixed Signal Design

More information

Design and Verification of FPGA Applications

Design and Verification of FPGA Applications Design and Verification of FPGA Applications Giuseppe Ridinò Paola Vallauri MathWorks giuseppe.ridino@mathworks.it paola.vallauri@mathworks.it Torino, 19 Maggio 2016, INAF 2016 The MathWorks, Inc. 1 Agenda

More information

101-1 Under-Graduate Project Digital IC Design Flow

101-1 Under-Graduate Project Digital IC Design Flow 101-1 Under-Graduate Project Digital IC Design Flow Speaker: Ming-Chun Hsiao Adviser: Prof. An-Yeu Wu Date: 2012/9/25 ACCESS IC LAB Outline Introduction to Integrated Circuit IC Design Flow Verilog HDL

More information

Next Generation Verification Process for Automotive and Mobile Designs with MIPI CSI-2 SM Interface

Next Generation Verification Process for Automotive and Mobile Designs with MIPI CSI-2 SM Interface Thierry Berdah, Yafit Snir Next Generation Verification Process for Automotive and Mobile Designs with MIPI CSI-2 SM Interface Agenda Typical Verification Challenges of MIPI CSI-2 SM designs IP, Sub System

More information

Intel Research mote. Ralph Kling Intel Corporation Research Santa Clara, CA

Intel Research mote. Ralph Kling Intel Corporation Research Santa Clara, CA Intel Research mote Ralph Kling Intel Corporation Research Santa Clara, CA Overview Intel mote project goals Project status and direction Intel mote hardware Intel mote software Summary and outlook Intel

More information

Introduction to Embedded Systems

Introduction to Embedded Systems Introduction to Embedded Systems Outline Embedded systems overview What is embedded system Characteristics Elements of embedded system Trends in embedded system Design cycle 2 Computing Systems Most of

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

Programmable Logic Devices HDL-Based Design Flows CMPE 415

Programmable Logic Devices HDL-Based Design Flows CMPE 415 HDL-Based Design Flows: ASIC Toward the end of the 80s, it became difficult to use schematic-based ASIC flows to deal with the size and complexity of >5K or more gates. HDLs were introduced to deal with

More information

Physical Design of a 3D-Stacked Heterogeneous Multi-Core Processor

Physical Design of a 3D-Stacked Heterogeneous Multi-Core Processor Physical Design of a -Stacked Heterogeneous Multi-Core Processor Randy Widialaksono, Rangeen Basu Roy Chowdhury, Zhenqian Zhang, Joshua Schabel, Steve Lipa, Eric Rotenberg, W. Rhett Davis, Paul Franzon

More information

Bibliography. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, Practical Software Reuse, Donald J. Reifer, Wiley, 1997.

Bibliography. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, Practical Software Reuse, Donald J. Reifer, Wiley, 1997. Bibliography Books on software reuse: 1. 2. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, 1997. Practical Software Reuse, Donald J. Reifer, Wiley, 1997. Formal specification and verification:

More information

Design Techniques for Implementing an 800MHz ARM v5 Core for Foundry-Based SoC Integration. Faraday Technology Corp.

Design Techniques for Implementing an 800MHz ARM v5 Core for Foundry-Based SoC Integration. Faraday Technology Corp. Design Techniques for Implementing an 800MHz ARM v5 Core for Foundry-Based SoC Integration Faraday Technology Corp. Table of Contents 1 2 3 4 Faraday & FA626TE Overview Why We Need an 800MHz ARM v5 Core

More information

Hardware-Software Codesign

Hardware-Software Codesign Hardware-Software Codesign 8. Performance Estimation Lothar Thiele 8-1 System Design specification system synthesis estimation -compilation intellectual prop. code instruction set HW-synthesis intellectual

More information

LEON3-Fault Tolerant Design Against Radiation Effects ASIC

LEON3-Fault Tolerant Design Against Radiation Effects ASIC LEON3-Fault Tolerant Design Against Radiation Effects ASIC Microelectronic Presentation Days 3 rd Edition 7 March 2007 Table of Contents Page 2 Project Overview Context Industrial Organization LEON3-FT

More information

Design Methodologies. Kai Huang

Design Methodologies. Kai Huang Design Methodologies Kai Huang News Is that real? In such a thermally constrained environment, going quad-core only makes sense if you can properly power gate/turbo up when some cores are idle. I have

More information

Copyright 2014 Xilinx

Copyright 2014 Xilinx IP Integrator and Embedded System Design Flow Zynq Vivado 2014.2 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able

More information

Design of AMBA Based AHB2APB Bridge

Design of AMBA Based AHB2APB Bridge 14 Design of AMBA Based AHB2APB Bridge Vani.R.M and M.Roopa, Reader and Head University Science Instrumentation Center, Gulbarga University, Gulbarga, INDIA Assistant Professor in the Department of Electronics

More information

A Design Tradeoff Study with Monolithic 3D Integration

A Design Tradeoff Study with Monolithic 3D Integration A Design Tradeoff Study with Monolithic 3D Integration Chang Liu and Sung Kyu Lim Georgia Institute of Techonology Atlanta, Georgia, 3332 Phone: (44) 894-315, Fax: (44) 385-1746 Abstract This paper studies

More information

On GPU Bus Power Reduction with 3D IC Technologies

On GPU Bus Power Reduction with 3D IC Technologies On GPU Bus Power Reduction with 3D Technologies Young-Joon Lee and Sung Kyu Lim School of ECE, Georgia Institute of Technology, Atlanta, Georgia, USA yjlee@gatech.edu, limsk@ece.gatech.edu Abstract The

More information

Embedded HW/SW Co-Development

Embedded HW/SW Co-Development Embedded HW/SW Co-Development It May be Driven by the Hardware Stupid! Frank Schirrmeister EDPS 2013 Monterey April 18th SPMI USB 2.0 SLIMbus RFFE LPDDR 2 LPDDR 3 emmc 4.5 UFS SD 3.0 SD 4.0 UFS Bare Metal

More information

ESL design with the Agility Compiler for SystemC

ESL design with the Agility Compiler for SystemC ESL design with the Agility Compiler for SystemC SystemC behavioral design & synthesis Steve Chappell & Chris Sullivan Celoxica ESL design portfolio Complete ESL design environment Streaming Video Processing

More information

DESIGN AND VERIFICATION ANALYSIS OF APB3 PROTOCOL WITH COVERAGE

DESIGN AND VERIFICATION ANALYSIS OF APB3 PROTOCOL WITH COVERAGE DESIGN AND VERIFICATION ANALYSIS OF APB3 PROTOCOL WITH COVERAGE Akhilesh Kumar and Richa Sinha Department of E&C Engineering, NIT Jamshedpur, Jharkhand, India ABSTRACT Today in the era of modern technology

More information

Bus AMBA. Advanced Microcontroller Bus Architecture (AMBA)

Bus AMBA. Advanced Microcontroller Bus Architecture (AMBA) Bus AMBA Advanced Microcontroller Bus Architecture (AMBA) Rene.beuchat@epfl.ch Rene.beuchat@hesge.ch Réf: AMBA Specification (Rev 2.0) www.arm.com ARM IHI 0011A 1 What to see AMBA system architecture Derivatives

More information

Introduction to ASIC Design

Introduction to ASIC Design Introduction to ASIC Design Victor P. Nelson ELEC 5250/6250 CAD of Digital ICs Design & implementation of ASICs Oops Not these! Application-Specific Integrated Circuit (ASIC) Developed for a specific application

More information

An introduction to CoCentric

An introduction to CoCentric A Hand-Out 1 An introduction to CoCentric Las Palmas de G. C., Spain Jun, 27 th, 2002 Agenda 2 System-level SoC design What is SystemC? CoCentric System Studio SystemC based designs verification CoCentric

More information