System Level Design Technologies and System Level Design Languages

Size: px
Start display at page:

Download "System Level Design Technologies and System Level Design Languages"

Transcription

1 System Level Design Technologies and System Level Design Languages SLD Study Group EDA-TC, JEITA

2 Problems to Be Solved 1. Functional Design Ambiguity of specification Change of system specification Slow simulation speed 2. Architecture Design No methodology for verification Low accuracy of estimation Designers Requirements 3. Implementation No effective design tool from High Level to RTL design flow mismatch between implementation and specification 4. Design database, Co-simulation difficulty of IP customization Lack of performance of co-simulation tools for SW design JEITA 2001 All rights reserved 2

3 Solutions 1. Functional Design For Ambiguity handling of the specification ambiguity of specification Change of system specification Slow simulation speed Methodology to define functional specification Executable SLD language 2. Architecture Design For earlier estimation of cost, performance, 2. Architecture etc. Design No methodology for Fast verification exploration method of finding Low optimum accuracy architecture of estimation Estimation model and design database 3. Implementation For No easy effective link to design implementation tool from H.L.D. phase to RTL design flow mismatch between implementation Interface synthesis and specification of HW/SW Equivalency check Designers Requirements 4. Design database, Co-simulation 4. Design database, Co-simulation For easiness of IP use, high speed cosimulation difficulty of IP customization Lack of performance of co-simulation tools for SW design Standardized I/F of IP, IP synthesis, Highly abstracted HW model JEITA 2001 All rights reserved 3

4 System Level Design Technologies Research Target EDA Technology Roadmap Toward 2002 EIAJ/EDA-TC/EDA Vision Study Group 1998 Universities/Research Centers POLIS OCAPI-xl IpChinook Vendors CoCentric N2C VCC Hardware-Software Co-Design of Embedded Systems, The POLIS Approach Kluwer Academic Publishers(1997) JEITA 2001 All rights reserved 4

5 Roadmap of EDA Technologies Items [System Level Design] Standardization of system model Standardization of system level design language(sldl) Simulation of SLD Language Performance estimation in system level design (application soft, compiler, performance estimation of HW, optimization High speed prototyping Formal verification (Specification - System HW/SW partition System level library (IP core, middle ware, etc. Decision support of system level test strategy Tradeoff of analog/digital [Architecture Design] Standardization of architecture model Standardization of architecture description language Estimation in architecture level area, delay, power,.. Decision of RTL constraint area, timing, power, budgeting of floor plan Formal verification System - Architecture Validation/Simulation Architecture synthesis Co-synthesis Decision support of architecture level test strategy test method/area/length of test DFT in architecture level :trial use In the prediction, almost all technologies are in the trial phase in 2000!! How in the real world? :preceding use :practical use Cited from EIAJ/EDA Technology Roadmap Toward 2002 JEITA 2001 All rights reserved 5

6 Design Tools (1) by Universities and Research Centers POLIS (UCB, U.S.A.) Co-design Environment for embedded system based on the CPU and DSP Supporting functional design to prototyping Architecture exploration by mapping behavior to architecture Accurate and high-level performance estimation using a fuzzy instruction set Esterel HDL SDL LOTOS) Functional level Mapping level Architecture Level System Specification Capture Behavior Verify Behavior Verify Performance Capture Architecture Verify Architecture Map Behavior to Architecture Refine HW/SW Architecture Link to Architecture Verification Link to HW / SW Implementation CPU/DSP RTOS Bus, Memory HW OCAPI-xl (IMEC, Belgium) OCAPI-xl System User Code Model C/C++ OCAPI-xl System Model VHDL/ Verilog User Code (C/C++) Decomposition and Analysis Integration and Mapping OCAPI-xl Models Code Generation C/C++ Performance Measures VC Models C++ model which can describe and uniformly Optimal block partition using a performance analysis System Link Automatic generation of VHDL / Verilog-HDL / C Single program model Multi-process model IpChinook (U of Washington, U.S.A.) Based on IP-based/Reused-Design methodology Automatic generation of control and I/F between functional blocks Lots of communication protocol libraries Support of,, Behavioral Description Control Composition Data Composition & Timing Constraints Control Synthesis Communication & Interface Synthesis Real-time Scheduling Output Schematic & Code Allocation Target Description Heterogeneous Distributed Architecture Simulation JEITA 2001 All rights reserved 6

7 Design Tools (2) by Vendors CoCentric (Synopsys) CoCentric System Studio SW Design System Design Datapath Control a.out Float Fixed-pointed Support HW side and co-verification of SOC Design Conversion from float to fix-pointed HW Synthesis from behavior Verilog,VHDL) Supporting SystemC CoCentric Fixed-Point Designer HW Design RTL Gate CoCentric System Compiler N2C (CoWare) Supporting functional level to implementation System Definition in Untimed, BCASH, BCA abstraction levels by original C-based language (CoWareC) Communication refinement Interface synthesis between HW and SW Supporting SystemC (in future) Functional Specification/verivication Function Software Optimization Communication HW/SW Partition Interface Synthesis HW/SW Co-verification Final Verification System definition by function and communication Detailed HW Design Timing verification conversion Synthesis UnTimed Model BCASH Model BCA Model HDL Model C/C++ SDL SPW HDL [OMI] MatLab Pattern VCC(Cadence) System Specification System Function Simulation Communication Refinement HW Assembly Architecture Mapping Design Estimation Simulation Architecture exploration by mapping function to architecture Performance estimation using a fuzzy instruction set Supporting IP reuse Architecture Generation SW Assembly Communication synthesis Interface to implementation CPU/DSP RTOS Bus, Memory HW JEITA 2001 All rights reserved 7

8 Summary of EDA Trend May be solved in near future? Function Verification Architecture Mapping Performance Estimation Interface Synthesis HW/SW Co-Verification Unsolved Problems Function Partition High Speed Estimation Estimation of Area, Cost, and Power Standardized Description of system specification, constraints etc. JEITA 2001 All rights reserved 8

9 System LSI Multi-function/ High performance Requirement of system level optimization Large/Complex Heterogeneous components High IP Utilization CPU ROM RAM IP IP New New IP Multi-product, Small-lot Production System LSI Short TAT JEITA 2001 All rights reserved 9

10 Conventional Design Flow System Specification Constraints Function Ambiguity of specification causes misunderstanding Inaccuracy of estimation causes re-spin Interface to Implementation HW/SW Co-Design JEITA 2001 All rights reserved 10

11 Proposed Design Flow System Specification Constraints Function Determination Function func2() func1() main() : : Veri. Function Definition Profiling Architecture Determination Design Space Generation FB1 FB4 FB2 FB5 FB3 Partitioned Function Definition CPU CPU CPU MEM HW HW HW HW HW HW Candidates of architecture Design Space Exploration Architecture Mapping Est. Interface to Implementation HW/SW Co-Design JEITA 2001 All rights reserved 11

12 Proposed Design Flow System Specification Test Description Test Bench Expected Value Test Spec. Architecture Determination Function Determination Function Function Verification Definition Design Space Generation Equivalence Checking Estimation Constraints Block Partitioning Design Space Exploration Function Profiling Architecture Generation Architecture Mapping Interface to Implementation HW/SW Co-Design Document DB Algorithm IP Function Block IP Architecture IP Estimation DB SW IP Bus I/F IP HW IP Design Database JEITA 2001 All rights reserved 12

13 Design Space Generation Block partitioning and architecture generation from function model Design Space Generation Block Partitioning func2() func1() main() : : Profiling Function Model Architecture Generation FB1 FB2 FB3 CPU MEM FB4 FB5 HW HW JEITA 2001 All rights reserved 13

14 Profiling Analyze function models statically/dynamically and extract information for block partitioning and architecture generation Class Number of Variable Access Amount of Data Transfer Amount of Calculation Statistical Analysis Information Number of read/write Access position Read-write distance Number of (bits)*(number of exec.) of read/write Amount of parameters Execution count of lines Execution count of blocks Execution count of functions Type and count of arithmetic/control instructions Usage (in design space generation) Choice of algorithm Decision of block candidates Selection of memory/register Decision of partitioning units Decision of HW/SW partitioning Selection of comm. protocol Decision of partitioning units Performance estimation on HW/SW Choice of processor Refinement of instruction set JEITA 2001 All rights reserved 14

15 Design Space Exploration Mapping each functional block to a component and select the optimum architecture which satisfies constraints Architecture Mapping FB1 FB2 FB3 CPU MEM FB4 FB5 HW HW Transaction Process Model Architecture Model represents only system the processing by functional cost blocks for estimation and communications between them ExampleProcessing time process cost process capability per units From transaction model From architecture model JEITA 2001 All rights reserved 15

16 Transaction Model and Accuracy Tradeoff of transaction model Coarse (Fast) Static Analysis Precise (Slow) Dynamic Analysis Method Simple summation of average properties (design data) Considering algorithm (branch, loop) Instruction set Dynamic simulation (using constraints) Advantages and Disadvantages High speed/low accuracy Need DB of past data Impossible to critical analysis Middle speed/middle accuracy Estimation of average property/range Low speed/high accuracy Need detailed analysis of implementation JEITA 2001 All rights reserved 16

17 Slow simulation speed Test Description Test Bench Expected Value Test strategy of overall design flow Solution to Problems Test Spec. Architecture Determination Inaccuracy of estimation Ambiguity of specification System Specification Function Determination Function Function Verification Definition Design Lack of Space Generation architecture decision tool Equivalence Checking Estimation Insufficient performance of co-simulator in software development Constraints Block Partitioning Design Space Exploration Function Profiling Architecture Generation Architecture Mapping Interface to Implementation HW/SW Co-Design Handling of ambiguity Difficulty of IP customization Lack of design path to implementation Document DB Algorithm IP Function Block IP Architecture IP Estimation DB JEITA 2001 All rights reserved 17 SW IP Bus I/F IP HW IP Design Database

18 Technology Map of Tools Only the verification is supported by all tools No tool support Some tools support this phase but speed/accuracy of the estimation is insufficient No tool supports the test bench generation automated by the tool tool has supporting function tool does not have supporting function not covered by the tool JEITA 2001 All rights reserved 18

19 Investigation of System Level Design Languages Background Important role for system level design flow Standardization activities OSCI, STOC, Accellera Objectives Understand standardization activities SystemC, SpecC, UML, etc. Evaluation of the proposed design flow Feedback to standardization groups JEITA 2001 All rights reserved 19

20 Design Languages Level of Abstraction VHDL Verilog Superlog C C++ SDL Esterel Vspec Java VHDL+ OOVHDL SLDL UML SystemC/Cynlib Rosetta SpecC Year JEITA 2001 All rights reserved 20

21 SystemC Background Proposed based on the technologies of Synopsys Inc., CoWare Inc., Frontier Design Inc. (Sept 1999) Features Representation from system level to HW/SW by adding dedicated class libraries, and without enhancing C/C++ grammar Availability of standard C/C++ development environment Less readability of description Developed from HW design using C/C++ to system level Easy to move from HDL environment Trends Open SystemC Initiative (OSCI) promotes standardization More than 70 of EDA vendors, IP vendors, semiconductor companies join to OSCI (Apr 2000) JEITA 2001 All rights reserved 21

22 SpecC Background Developed by Prof. Gajski in UCI (1997) Features Unified description of HW/SW by enhancing ANSI-C grammar High readability Needs special tools and environment Clear design methodology which covers over system modeling to architecture determination Trends SpecC Technology Open Consortium (STOC) promotes the standardization System houses, embedded software tool vendors have strong interest JEITA 2001 All rights reserved 22

23 UML Background Developed as modeling language for complicated large system Started the standardization since 1996 by OMG *1 Unification of existing object-oriented modeling methods *2 Features Visual language with high modeling ability Visual and multi-viewed system modeling use case, class, component, layout, state chart, sequence, activity, collaboration Scheme for describing constraints Methodology of applying HW design is incomplete Trends Wide use in business software Started to use in embedded software trial phase for HW design 1 ObjectModeling Group *2 Booch Method, OMT Method, OOSE Method Class Diagram State Chart Diagram JEITA 2001 All rights reserved 23

24 Evaluation Results Language item System Specification Function Constraints Function Determination Function Definition Function Verification Architecture Determination Profiling Block Partition Equivalence Check Architecture Generation Architecture Mapping Estimation Interface to Implementation HW/SW Co-Design Test Bench Generation SystemC v2.0 not supported less readability available C++ env. only structure transaction model NG insufficient methodology support until RTL SpecC (v1.0) not supported clear methodology tool environment unified HW/SW desc. only structure transaction model NG insufficient methodology planned to support Accellera s RTL model UML v1.4 visual description constraint language only for SW only state chart not supported not supported only specification IP Design JEITA 2001 All rights reserved 24

25 Summary of System Level Design Languages SystemC Representation from system level to HW/SW by adding dedicated class libraries and without enhancing C/C++ grammar Need to clarify the methodology Strong support for implementation, but need to consider the architecture generation and the estimation SpecC Unified description of HW/SW by enhancing ANSI-C grammar A key to prevalence is to arrange special design environment to implementation Methodology for system modeling exists, but weak for the architecture generation and later stages UML Large ability of function/constraint description by visual modeling Need to consider design phases after architecture design Copyright JEITA, All rights reserved 25

System Level Design with IBM PowerPC Models

System Level Design with IBM PowerPC Models September 2005 System Level Design with IBM PowerPC Models A view of system level design SLE-m3 The System-Level Challenges Verification escapes cost design success There is a 45% chance of committing

More information

Intro to High Level Design with SystemC

Intro to High Level Design with SystemC Intro to High Level Design with SystemC Aim To introduce SystemC, and its associated Design Methodology Date 26th March 2001 Presented By Alan Fitch Designer Challenges Design complexity System on Chip

More information

Codesign Framework. Parts of this lecture are borrowed from lectures of Johan Lilius of TUCS and ASV/LL of UC Berkeley available in their web.

Codesign Framework. Parts of this lecture are borrowed from lectures of Johan Lilius of TUCS and ASV/LL of UC Berkeley available in their web. Codesign Framework Parts of this lecture are borrowed from lectures of Johan Lilius of TUCS and ASV/LL of UC Berkeley available in their web. Embedded Processor Types General Purpose Expensive, requires

More information

Appendix SystemC Product Briefs. All product claims contained within are provided by the respective supplying company.

Appendix SystemC Product Briefs. All product claims contained within are provided by the respective supplying company. Appendix SystemC Product Briefs All product claims contained within are provided by the respective supplying company. Blue Pacific Computing BlueWave Blue Pacific s BlueWave is a simulation GUI, including

More information

Hardware/Software Co-design

Hardware/Software Co-design Hardware/Software Co-design Zebo Peng, Department of Computer and Information Science (IDA) Linköping University Course page: http://www.ida.liu.se/~petel/codesign/ 1 of 52 Lecture 1/2: Outline : an Introduction

More information

Hardware Description Languages & System Description Languages Properties

Hardware Description Languages & System Description Languages Properties Hardware Description Languages & System Description Languages Properties There is a need for executable specification language that is capable of capturing the functionality of the system in a machine-readable

More information

SpecC Methodology for High-Level Modeling

SpecC Methodology for High-Level Modeling EDP 2002 9 th IEEE/DATC Electronic Design Processes Workshop SpecC Methodology for High-Level Modeling Rainer Dömer Daniel D. Gajski Andreas Gerstlauer Center for Embedded Computer Systems Universitiy

More information

An introduction to CoCentric

An introduction to CoCentric A Hand-Out 1 An introduction to CoCentric Las Palmas de G. C., Spain Jun, 27 th, 2002 Agenda 2 System-level SoC design What is SystemC? CoCentric System Studio SystemC based designs verification CoCentric

More information

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools Wen-Yen Lin, Ph.D. Department of Electrical Engineering Chang Gung University Email: wylin@mail.cgu.edu.tw March 2013 Agenda Introduction

More information

Hardware Description Languages & System Description Languages Properties

Hardware Description Languages & System Description Languages Properties Hardware Description Languages & System Description Languages Properties There is a need for executable specification language that is capable of capturing the functionality of the system in a machine-readable

More information

ASIC world. Start Specification Design Verification Layout Validation Finish

ASIC world. Start Specification Design Verification Layout Validation Finish AMS Verification Agenda ASIC world ASIC Industrial Facts Why Verification? Verification Overview Functional Verification Formal Verification Analog Verification Mixed-Signal Verification DFT Verification

More information

Design Issues in Hardware/Software Co-Design

Design Issues in Hardware/Software Co-Design Volume-2, Issue-1, January-February, 2014, pp. 01-05, IASTER 2013 www.iaster.com, Online: 2347-6109, Print: 2348-0017 ABSTRACT Design Issues in Hardware/Software Co-Design R. Ganesh Sr. Asst. Professor,

More information

SoC Design for the New Millennium Daniel D. Gajski

SoC Design for the New Millennium Daniel D. Gajski SoC Design for the New Millennium Daniel D. Gajski Center for Embedded Computer Systems University of California, Irvine www.cecs.uci.edu/~gajski Outline System gap Design flow Model algebra System environment

More information

Introduction to SystemC

Introduction to SystemC Introduction to SystemC Damien Hubaux - CETIC Outline?? A language A C++ library February 12, 2004 SystemC, an alternative for system modeling and synthesis? 2 Why SystemC? Needs Increasing complexity,

More information

Hardware in the Loop Functional Verification Methodology

Hardware in the Loop Functional Verification Methodology OMG's Third Software-Based Communications Workshop: Realizing the Vision Hardware in the Loop Functional Verification Methodology by Pascal Giard Jean-François Boland, Jean Belzile M.Ing. Student École

More information

23. Digital Baseband Design

23. Digital Baseband Design 23. Digital Baseband Design Algorithm-to-VLSI Circuit Refinement (Floating Point) Tradeoff (SNR Loss, BER) (Fixed Point) VHDL, Verilog VHDL, Verilog Memory Control For I=0 to I=15 Sum = Sum + array[i]

More information

Elements of a SystemC Design Platform

Elements of a SystemC Design Platform Elements of a SystemC Design Platform GEORGE ECONOMAKOS Department of Electrical and Computer Engineering National Technical University of Athens Zographou Campus, GR-15773 Athens GREECE Abstact: - Modern

More information

System Level Design Flow

System Level Design Flow System Level Design Flow What is needed and what is not Daniel D. Gajski Center for Embedded Computer Systems University of California, Irvine www.cecs.uci.edu/~gajski System Level Design Flow What is

More information

ESE Back End 2.0. D. Gajski, S. Abdi. (with contributions from H. Cho, D. Shin, A. Gerstlauer)

ESE Back End 2.0. D. Gajski, S. Abdi. (with contributions from H. Cho, D. Shin, A. Gerstlauer) ESE Back End 2.0 D. Gajski, S. Abdi (with contributions from H. Cho, D. Shin, A. Gerstlauer) Center for Embedded Computer Systems University of California, Irvine http://www.cecs.uci.edu 1 Technology advantages

More information

Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany

Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany 2013 The MathWorks, Inc. 1 Agenda Model-Based Design of embedded Systems Software Implementation

More information

SystemC Users Forum - Japan. February 1, 2001

SystemC Users Forum - Japan. February 1, 2001 SystemC Users Forum - Japan February 1, 2001 Agenda Why SystemC? Organizational Update The Growing SystemC Marketplace SystemC v2.0 Roadmap v2.0 Capabilities and Benefits v1.2beta Capabilities and Benefits

More information

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Hardware Design Environments Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Outline Welcome to COE 405 Digital System Design Design Domains and Levels of Abstractions Synthesis

More information

Abstraction Layers for Hardware Design

Abstraction Layers for Hardware Design SYSTEMC Slide -1 - Abstraction Layers for Hardware Design TRANSACTION-LEVEL MODELS (TLM) TLMs have a common feature: they implement communication among processes via function calls! Slide -2 - Abstraction

More information

Hardware Software Codesign of SOC 晶片系統之軟硬體共同設計

Hardware Software Codesign of SOC 晶片系統之軟硬體共同設計 Hardware Software Codesign of SOC 晶片系統之軟硬體共同設計 李宗演國立台北科技大學電子工程系 tylee@ntut.edu.tw http://www.ntut.edu.tw/~tylee/ 1 Contents Introduction SoC Models, Architectures, Languages SoC HW-SW Partitioning Function-Architecture

More information

Cosimulation of ITRON-Based Embedded Software with SystemC

Cosimulation of ITRON-Based Embedded Software with SystemC Cosimulation of ITRON-Based Embedded Software with SystemC Shin-ichiro Chikada, Shinya Honda, Hiroyuki Tomiyama, Hiroaki Takada Graduate School of Information Science, Nagoya University Information Technology

More information

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS Embedded System System Set of components needed to perform a function Hardware + software +. Embedded Main function not computing Usually not autonomous

More information

Documentation in Electronic System Design Marko Pettissalo, NMP

Documentation in Electronic System Design Marko Pettissalo, NMP Documentation in Electronic System Design Marko Pettissalo, NMP 1 NOKIA LAITE03.PPT/ 4.4.2003 / MPP Topics Background End users of documents Review practice Overall design process Documents in different

More information

Hardware-Software Co-Design and Prototyping on SoC FPGAs Puneet Kumar Prateek Sikka Application Engineering Team

Hardware-Software Co-Design and Prototyping on SoC FPGAs Puneet Kumar Prateek Sikka Application Engineering Team Hardware-Software Co-Design and Prototyping on SoC FPGAs Puneet Kumar Prateek Sikka Application Engineering Team 2015 The MathWorks, Inc. 1 Agenda Integrated Hardware / Software Top down Workflow for SoC

More information

OSCI Update. Guido Arnout OSCI Chief Strategy Officer CoWare Chairman & Founder

OSCI Update. Guido Arnout OSCI Chief Strategy Officer CoWare Chairman & Founder OSCI Update Guido Arnout OSCI Chief Strategy Officer CoWare Chairman & Founder Chief Strategy Officer charter Ensure that OSCI strategy is created, coordinated, communicated & executed Identify OSCI technical

More information

SystemC Modelling of the Embedded Networks

SystemC Modelling of the Embedded Networks Saint Petersburg State University of Aerospace Instrumentation, Russia; Nokia Research Center and Nokia Devices, Finland. SystemC Modelling of the Embedded Networks Valentin Olenev, Yuriy Sheynin, Elena

More information

COE 561 Digital System Design & Synthesis Introduction

COE 561 Digital System Design & Synthesis Introduction 1 COE 561 Digital System Design & Synthesis Introduction Dr. Aiman H. El-Maleh Computer Engineering Department King Fahd University of Petroleum & Minerals Outline Course Topics Microelectronics Design

More information

System-On-Chip Architecture Modeling Style Guide

System-On-Chip Architecture Modeling Style Guide Center for Embedded Computer Systems University of California, Irvine System-On-Chip Architecture Modeling Style Guide Junyu Peng Andreas Gerstlauer Rainer Dömer Daniel D. Gajski Technical Report CECS-TR-04-22

More information

Hardware Design and Simulation for Verification

Hardware Design and Simulation for Verification Hardware Design and Simulation for Verification by N. Bombieri, F. Fummi, and G. Pravadelli Universit`a di Verona, Italy (in M. Bernardo and A. Cimatti Eds., Formal Methods for Hardware Verification, Lecture

More information

Glossary. ATPG -Automatic Test Pattern Generation. BIST- Built-In Self Test CBA- Cell Based Array

Glossary. ATPG -Automatic Test Pattern Generation. BIST- Built-In Self Test CBA- Cell Based Array Glossary ATPG -Automatic Test Pattern Generation BFM - Bus Functional Model BIST- Built-In Self Test CBA- Cell Based Array FSM - Finite State Machine HDL- Hardware Description Language ISA (ISS) - Instruction

More information

Part 2: Principles for a System-Level Design Methodology

Part 2: Principles for a System-Level Design Methodology Part 2: Principles for a System-Level Design Methodology Separation of Concerns: Function versus Architecture Platform-based Design 1 Design Effort vs. System Design Value Function Level of Abstraction

More information

Documentation in Electronic System Design

Documentation in Electronic System Design Documentation in Electronic System Design Marko Pettissalo, Nokia TP 1 NOKIA LAITE_DOC04.PPT/ 21.4.2004 / MPP Presentation Outline Background and Motivation Documentation in general Overall electronic

More information

EEL 5722C Field-Programmable Gate Array Design

EEL 5722C Field-Programmable Gate Array Design EEL 5722C Field-Programmable Gate Array Design Lecture 19: Hardware-Software Co-Simulation* Prof. Mingjie Lin * Rabi Mahapatra, CpSc489 1 How to cosimulate? How to simulate hardware components of a mixed

More information

Integrated Workflow to Implement Embedded Software and FPGA Designs on the Xilinx Zynq Platform Puneet Kumar Senior Team Lead - SPC

Integrated Workflow to Implement Embedded Software and FPGA Designs on the Xilinx Zynq Platform Puneet Kumar Senior Team Lead - SPC Integrated Workflow to Implement Embedded Software and FPGA Designs on the Xilinx Zynq Platform Puneet Kumar Senior Team Lead - SPC 2012 The MathWorks, Inc. 1 Agenda Integrated Hardware / Software Top

More information

Overview of Digital Design Methodologies

Overview of Digital Design Methodologies Overview of Digital Design Methodologies ELEC 5402 Pavan Gunupudi Dept. of Electronics, Carleton University January 5, 2012 1 / 13 Introduction 2 / 13 Introduction Driving Areas: Smart phones, mobile devices,

More information

ECE 111 ECE 111. Advanced Digital Design. Advanced Digital Design Winter, Sujit Dey. Sujit Dey. ECE Department UC San Diego

ECE 111 ECE 111. Advanced Digital Design. Advanced Digital Design Winter, Sujit Dey. Sujit Dey. ECE Department UC San Diego Advanced Digital Winter, 2009 ECE Department UC San Diego dey@ece.ucsd.edu http://esdat.ucsd.edu Winter 2009 Advanced Digital Objective: of a hardware-software embedded system using advanced design methodologies

More information

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES DIGITAL DESIGN TECHNOLOGY & TECHNIQUES CAD for ASIC Design 1 INTEGRATED CIRCUITS (IC) An integrated circuit (IC) consists complex electronic circuitries and their interconnections. William Shockley et

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

Choosing IP-XACT IEEE 1685 standard as a unified description for timing and power performance estimations in virtual platforms platforms

Choosing IP-XACT IEEE 1685 standard as a unified description for timing and power performance estimations in virtual platforms platforms hoosing IP-XAT IEEE 1685 standard as a unified description for timing and power performance estimations in virtual platforms platforms Emmanuel Vaumorin (Magillem Design Services) Motivation New needs

More information

Mentor Graphics Solutions Enable Fast, Efficient Designs for Altera s FPGAs. Fall 2004

Mentor Graphics Solutions Enable Fast, Efficient Designs for Altera s FPGAs. Fall 2004 Mentor Graphics Solutions Enable Fast, Efficient Designs for Altera s FPGAs Fall 2004 Agenda FPGA design challenges Mentor Graphics comprehensive FPGA design solutions Unique tools address the full range

More information

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi Digital System Design Lecture 2: Design Amir Masoud Gharehbaghi amgh@mehr.sharif.edu Table of Contents Design Methodologies Overview of IC Design Flow Hardware Description Languages Brief History of HDLs

More information

Hardware Modelling. Design Flow Overview. ECS Group, TU Wien

Hardware Modelling. Design Flow Overview. ECS Group, TU Wien Hardware Modelling Design Flow Overview ECS Group, TU Wien 1 Outline Difference: Hardware vs. Software Design Flow Steps Specification Realisation Verification FPGA Design Flow 2 Hardware vs. Software:

More information

A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes

A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes Presented at Design Automation Conference (DAC) San Francisco, CA, June 4, 2012. Presented by Chuck Cruse FPGA Hardware

More information

EEL 5722C Field-Programmable Gate Array Design

EEL 5722C Field-Programmable Gate Array Design EEL 5722C Field-Programmable Gate Array Design Lecture 17: Describing Synthesizable RTL in SystemC* Prof. Mingjie Lin * 2001 Synopsys, Inc. 1 System-Level Design Specifying the system Verifying its functionality

More information

Early Models in Silicon with SystemC synthesis

Early Models in Silicon with SystemC synthesis Early Models in Silicon with SystemC synthesis Agility Compiler summary C-based design & synthesis for SystemC Pure, standard compliant SystemC/ C++ Most widely used C-synthesis technology Structural SystemC

More information

MULTILANGUAGE SPECIFICATION FOR SYSTEM DESIGN AND CODESIGN

MULTILANGUAGE SPECIFICATION FOR SYSTEM DESIGN AND CODESIGN MULTILANGUAGE SPECIFICATION FOR SYSTEM DESIGN AND CODESIGN A.A. JERRAYA, M. ROMDHANI, PH. LE MARREC, F. HESSEL, P. COSTE, C. VALDERRAMA, G.F. MARCHIORO, J.M.DAVEAU, N.-E. ZERGAINOH TIMA Laboratory 46 avenue

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

Bibliography. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, Practical Software Reuse, Donald J. Reifer, Wiley, 1997.

Bibliography. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, Practical Software Reuse, Donald J. Reifer, Wiley, 1997. Bibliography Books on software reuse: 1. 2. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, 1997. Practical Software Reuse, Donald J. Reifer, Wiley, 1997. Formal specification and verification:

More information

Contemporary Design. Traditional Hardware Design. Traditional Hardware Design. HDL Based Hardware Design User Inputs. Requirements.

Contemporary Design. Traditional Hardware Design. Traditional Hardware Design. HDL Based Hardware Design User Inputs. Requirements. Contemporary Design We have been talking about design process Let s now take next steps into examining in some detail Increasing complexities of contemporary systems Demand the use of increasingly powerful

More information

Model-Based Design for Video/Image Processing Applications

Model-Based Design for Video/Image Processing Applications Model-Based Design for Video/Image Processing Applications The MathWorks Agenda Model-Based Design From MATLAB and Simulink to Altera FPGA Step-by-step design and implementation of edge detection algorithm

More information

ESL design with the Agility Compiler for SystemC

ESL design with the Agility Compiler for SystemC ESL design with the Agility Compiler for SystemC SystemC behavioral design & synthesis Steve Chappell & Chris Sullivan Celoxica ESL design portfolio Complete ESL design environment Streaming Video Processing

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

Post processing techniques to accelerate assertion development Ajay Sharma

Post processing techniques to accelerate assertion development Ajay Sharma Post processing techniques to accelerate assertion development Ajay Sharma 2014 Synopsys, Inc. All rights reserved. 1 Agenda Introduction to Assertions Traditional flow for using ABV in Simulations/Emulation/Prototyping

More information

Design and Verification of FPGA Applications

Design and Verification of FPGA Applications Design and Verification of FPGA Applications Giuseppe Ridinò Paola Vallauri MathWorks giuseppe.ridino@mathworks.it paola.vallauri@mathworks.it Torino, 19 Maggio 2016, INAF 2016 The MathWorks, Inc. 1 Agenda

More information

EE382V: System-on-a-Chip (SoC) Design

EE382V: System-on-a-Chip (SoC) Design EE382V: System-on-a-Chip (SoC) Design Lecture 8 HW/SW Co-Design Sources: Prof. Margarida Jacome, UT Austin Andreas Gerstlauer Electrical and Computer Engineering University of Texas at Austin gerstl@ece.utexas.edu

More information

System Design Paradigms. Electronics and the Car

System Design Paradigms. Electronics and the Car System Design Paradigms Alberto Sangiovanni Vincentelli The Edgar L. and Harold H. Buttner Chair of Electr.. Eng. and Comp. Science University of California at Berkeley Co-Founder, Chief Technology Advisor

More information

Connecting MATLAB & Simulink with your SystemVerilog Workflow for Functional Verification

Connecting MATLAB & Simulink with your SystemVerilog Workflow for Functional Verification Connecting MATLAB & Simulink with your SystemVerilog Workflow for Functional Verification Corey Mathis Industry Marketing Manager Communications, Electronics, and Semiconductors MathWorks 2014 MathWorks,

More information

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica VLSI Design Automation 1 Outline Technology trends VLSI Design flow (an overview) 2 IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing

More information

Challenges. Shift to Reuse Strategy Higher Level of Abstractions Software!!!

Challenges. Shift to Reuse Strategy Higher Level of Abstractions Software!!! Challenges Shift to Reuse Strategy Higher Level of Abstractions Software!!! 1 PERCENT OF TRANSISTORS WITHIN EMBEDDED IP (EXCLUDES MEMORY) 100 Random Logic Transistors Transistors (%) Transistors Within

More information

EE595. Part VIII Overall Concept on VHDL. EE 595 EDA / ASIC Design Lab

EE595. Part VIII Overall Concept on VHDL. EE 595 EDA / ASIC Design Lab EE595 Part VIII Overall Concept on VHDL VHDL is a Standard Language Standard in the electronic design community. VHDL will virtually guarantee that you will not have to throw away and re-capture design

More information

MODELING LANGUAGES AND ABSTRACT MODELS. Giovanni De Micheli Stanford University. Chapter 3 in book, please read it.

MODELING LANGUAGES AND ABSTRACT MODELS. Giovanni De Micheli Stanford University. Chapter 3 in book, please read it. MODELING LANGUAGES AND ABSTRACT MODELS Giovanni De Micheli Stanford University Chapter 3 in book, please read it. Outline Hardware modeling issues: Representations and models. Issues in hardware languages.

More information

SOCP2P: A PEER-TO-PEER IPS BASED SOC DESIGN AND SIMULATION TOOL

SOCP2P: A PEER-TO-PEER IPS BASED SOC DESIGN AND SIMULATION TOOL 41 SOCP2P: A PEER-TO-PEER IPS BASED SOC DESIGN AND SIMULATION TOOL Samy Meftali and Jean-Luc Dekeyser Laboratoire d Informatique Fondamentale de Lille. FRANCE Meftali@lifl.fr, Dekeyser@lifl.fr In this

More information

Models, Architectures, Languages

Models, Architectures, Languages Models, Architectures, Languages Introduction Models State, Activity, Structure, Data, Heterogeneous Architectures Function-Architecture, Platform-Based Languages Hardware: VHDL / Verilog / SystemVerilog

More information

System-level simulation (HW/SW co-simulation) Outline. EE290A: Design of Embedded System ASV/LL 9/10

System-level simulation (HW/SW co-simulation) Outline. EE290A: Design of Embedded System ASV/LL 9/10 System-level simulation (/SW co-simulation) Outline Problem statement Simulation and embedded system design functional simulation performance simulation POLIS implementation partitioning example implementation

More information

Transaction Level Modeling: An Overview

Transaction Level Modeling: An Overview Transaction Level Modeling: An Overview Lukai Cai and Daniel Gajski Center for Embedded Computer Systems University of California, lrvine Irvine, CA 92697, USA {lcai,gajski}@cecs.uci.edu ABSTRACT Recently,

More information

The Use Of Virtual Platforms In MP-SoC Design. Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006

The Use Of Virtual Platforms In MP-SoC Design. Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006 The Use Of Virtual Platforms In MP-SoC Design Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006 1 MPSoC Is MP SoC design happening? Why? Consumer Electronics Complexity Cost of ASIC Increased SW Content

More information

Making the Most of your MATLAB Models to Improve Verification

Making the Most of your MATLAB Models to Improve Verification Making the Most of your MATLAB Models to Improve Verification Verification Futures 2016 Graham Reith Industry Manager: Communications, Electronics & Semiconductors Graham.Reith@mathworks.co.uk 2015 The

More information

Using SystemC for Hardware Design Comparison of results with VHDL, Cossap and CoCentric

Using SystemC for Hardware Design Comparison of results with VHDL, Cossap and CoCentric Comparison of results with VHDL, Cossap and CoCentric Mario Steinert, Steffen Buch, CPD AA, Infineon Technologies AG, David Slogsnat, University of Mannheim mario.steinert@infineon.com ABSTRACT This paper

More information

Verilog. What is Verilog? VHDL vs. Verilog. Hardware description language: Two major languages. Many EDA tools support HDL-based design

Verilog. What is Verilog? VHDL vs. Verilog. Hardware description language: Two major languages. Many EDA tools support HDL-based design Verilog What is Verilog? Hardware description language: Are used to describe digital system in text form Used for modeling, simulation, design Two major languages Verilog (IEEE 1364), latest version is

More information

Overview of Digital Design with Verilog HDL 1

Overview of Digital Design with Verilog HDL 1 Overview of Digital Design with Verilog HDL 1 1.1 Evolution of Computer-Aided Digital Design Digital circuit design has evolved rapidly over the last 25 years. The earliest digital circuits were designed

More information

SystemC abstractions and design refinement for HW- SW SoC design. Dündar Dumlugöl. Vice President of Engineering, CoWare, Inc.

SystemC abstractions and design refinement for HW- SW SoC design. Dündar Dumlugöl. Vice President of Engineering, CoWare, Inc. SystemC abstractions and design refinement for HW- SW SoC design Dündar Dumlugöl Vice President of Engineering, CoWare, Inc. Overview SystemC abstraction levels & design flow Interface Synthesis Analyzing

More information

Efficient Usage of Concurrency Models in an Object-Oriented Co-design Framework

Efficient Usage of Concurrency Models in an Object-Oriented Co-design Framework Efficient Usage of Concurrency Models in an Object-Oriented Co-design Framework Piyush Garg Center for Embedded Computer Systems, University of California Irvine, CA 92697 pgarg@cecs.uci.edu Sandeep K.

More information

Philip Andrew Simpson. FPGA Design. Best Practices for Team-based Reuse. Second Edition

Philip Andrew Simpson. FPGA Design. Best Practices for Team-based Reuse. Second Edition FPGA Design Philip Andrew Simpson FPGA Design Best Practices for Team-based Reuse Second Edition Philip Andrew Simpson San Jose, CA, USA ISBN 978-3-319-17923-0 DOI 10.1007/978-3-319-17924-7 ISBN 978-3-319-17924-7

More information

Designing and Prototyping Digital Systems on SoC FPGA The MathWorks, Inc. 1

Designing and Prototyping Digital Systems on SoC FPGA The MathWorks, Inc. 1 Designing and Prototyping Digital Systems on SoC FPGA Hitu Sharma Application Engineer Vinod Thomas Sr. Training Engineer 2015 The MathWorks, Inc. 1 What is an SoC FPGA? A typical SoC consists of- A microcontroller,

More information

Glossary. AHDL A Hardware Description Language, such as Verilog-A, SpectreHDL, or VHDL-A, used to describe analog designs.

Glossary. AHDL A Hardware Description Language, such as Verilog-A, SpectreHDL, or VHDL-A, used to describe analog designs. Glossary ADC, A/D Analog-to-Digital Converter. AHDL A Hardware Description Language, such as Verilog-A, SpectreHDL, or VHDL-A, used to describe analog designs. AMBA Advanced Microcontroller Bus Architecture.

More information

Long Term Trends for Embedded System Design

Long Term Trends for Embedded System Design Long Term Trends for Embedded System Design Ahmed Amine JERRAYA Laboratoire TIMA, 46 Avenue Félix Viallet, 38031 Grenoble CEDEX, France Email: Ahmed.Jerraya@imag.fr Abstract. An embedded system is an application

More information

System Design and Methodology/ Embedded Systems Design (Modeling and Design of Embedded Systems)

System Design and Methodology/ Embedded Systems Design (Modeling and Design of Embedded Systems) Design&Methodologies Fö 1&2-1 Design&Methodologies Fö 1&2-2 Course Information Design and Methodology/ Embedded s Design (Modeling and Design of Embedded s) TDTS07/TDDI08 Web page: http://www.ida.liu.se/~tdts07

More information

System Synthesis of Digital Systems

System Synthesis of Digital Systems System Synthesis Introduction 1 System Synthesis of Digital Systems Petru Eles, Zebo Peng System Synthesis Introduction 2 Literature: Introduction P. Eles, K. Kuchcinski and Z. Peng "System Synthesis with

More information

Semantics-Based Integration of Embedded Systems Models

Semantics-Based Integration of Embedded Systems Models Semantics-Based Integration of Embedded Systems Models Project András Balogh, OptixWare Research & Development Ltd. n 100021 Outline Embedded systems overview Overview of the GENESYS-INDEXYS approach Current

More information

Implementing MATLAB Algorithms in FPGAs and ASICs By Alexander Schreiber Senior Application Engineer MathWorks

Implementing MATLAB Algorithms in FPGAs and ASICs By Alexander Schreiber Senior Application Engineer MathWorks Implementing MATLAB Algorithms in FPGAs and ASICs By Alexander Schreiber Senior Application Engineer MathWorks 2014 The MathWorks, Inc. 1 Traditional Implementation Workflow: Challenges Algorithm Development

More information

Hardware Implementation and Verification by Model-Based Design Workflow - Communication Models to FPGA-based Radio

Hardware Implementation and Verification by Model-Based Design Workflow - Communication Models to FPGA-based Radio Hardware Implementation and Verification by -Based Design Workflow - Communication s to FPGA-based Radio Katsuhisa Shibata Industry Marketing MathWorks Japan 2015 The MathWorks, Inc. 1 Agenda Challenges

More information

System Level Design For Low Power. Yard. Doç. Dr. Berna Örs Yalçın

System Level Design For Low Power. Yard. Doç. Dr. Berna Örs Yalçın System Level Design For Low Power Yard. Doç. Dr. Berna Örs Yalçın References System-Level Design Methodology, Daniel D. Gajski Hardware-software co-design of embedded systems : the POLIS approach / by

More information

Hardware Modeling. Hardware Description. ECS Group, TU Wien

Hardware Modeling. Hardware Description. ECS Group, TU Wien Hardware Modeling Hardware Description ECS Group, TU Wien Content of this course Hardware Specification Functional specification High Level Requirements Detailed Design Description Realisation Hardware

More information

Hardware Software Codesign of Embedded Systems

Hardware Software Codesign of Embedded Systems Hardware Software Codesign of Embedded Systems Rabi Mahapatra Texas A&M University Today s topics Course Organization Introduction to HS-CODES Codesign Motivation Some Issues on Codesign of Embedded System

More information

SoC Modeling. What Is Modeling. Advantages Of Modeling. architecture

SoC Modeling. What Is Modeling. Advantages Of Modeling. architecture SoC Modeling Ming-Hwa Wang, Ph.D. COEN 207 SoC (System-on-Chip) Verification Department of Computer Engineering Santa Clara University Topics advantages of modeling what is modeling cost of modeling languages

More information

Development of Integrated Hard- and Software Systems: Tasks and Processes

Development of Integrated Hard- and Software Systems: Tasks and Processes TECHNISCHE UNIVERSITÄT ILMENAU Development of Integrated Hard- and Software Systems: Tasks and Processes Integrated Hard- and Software Systems http://www.tu-ilmenau.de/ihs System Development Poor Process

More information

SystemC, OCCN and VPs. Integrated Systems Development NoC Round Table, ESTEC 17 September 2009

SystemC, OCCN and VPs. Integrated Systems Development NoC Round Table, ESTEC 17 September 2009 SystemC, OCCN and VPs M. Grammatikakis,, E. Politis and C. Papadas Integrated Systems Development NoC Round Table, ESTEC 17 September 2009 On SoC/NoC Modeling Developments ISD has contributed to the design,

More information

Park Sung Chul. AE MentorGraphics Korea

Park Sung Chul. AE MentorGraphics Korea PGA Design rom Concept to Silicon Park Sung Chul AE MentorGraphics Korea The Challenge of Complex Chip Design ASIC Complex Chip Design ASIC or FPGA? N FPGA Design FPGA Embedded Core? Y FPSoC Design Considerations

More information

A design methodology for TTA protocol processors

A design methodology for TTA protocol processors A design methodology for TTA protocol processors Presentation by Seppo Virtanen seppo.virtanen@utu.fi http://users.utu.fi/seaavi Embedded Systems lab, Turku Centre for Computer Science (TUCS) http://www.tucs.fi

More information

Hardware, Software and Mechanical Cosimulation for Automotive Applications

Hardware, Software and Mechanical Cosimulation for Automotive Applications , and Mechanical Cosimulation for Automotive Applications P. Le Marrec, C. A. Valderrama, F. Hessel, A. A. Jerraya System Level Synthesis Group, TIMA Laboratory, INPG, Grenoble M. Attia, O. Cayrol PSA

More information

DSPACE: LISA Methodology

DSPACE: LISA Methodology DSPACE: LISA Methodology Maximilian Odendahl ESA DSP Day, Noordwjik, 28.8.2012 Institute for Communication Technologies and Embedded Systems Outline 2 Introduction DSPACE Requirements LISA Methodology

More information

Design Methodologies. Kai Huang

Design Methodologies. Kai Huang Design Methodologies Kai Huang News Is that real? In such a thermally constrained environment, going quad-core only makes sense if you can properly power gate/turbo up when some cores are idle. I have

More information

EEM870 Embedded System and Experiment Lecture 2: Introduction to SoC Design

EEM870 Embedded System and Experiment Lecture 2: Introduction to SoC Design EEM870 Embedded System and Experiment Lecture 2: Introduction to SoC Design Wen-Yen Lin, Ph.D. Department of Electrical Engineering Chang Gung University Email: wylin@mail.cgu.edu.tw March 2013 Agenda

More information

Cadence SystemC Design and Verification. NMI FPGA Network Meeting Jan 21, 2015

Cadence SystemC Design and Verification. NMI FPGA Network Meeting Jan 21, 2015 Cadence SystemC Design and Verification NMI FPGA Network Meeting Jan 21, 2015 The High Level Synthesis Opportunity Raising Abstraction Improves Design & Verification Optimizes Power, Area and Timing for

More information

A Generic RTOS Model for Real-time Systems Simulation with SystemC

A Generic RTOS Model for Real-time Systems Simulation with SystemC A Generic RTOS Model for Real-time Systems Simulation with SystemC R. Le Moigne, O. Pasquier, J-P. Calvez Polytech, University of Nantes, France rocco.lemoigne@polytech.univ-nantes.fr Abstract The main

More information